[HW] add more capacitors for VDD stabilization

This commit is contained in:
Jannik Beyerstedt 2018-08-02 21:03:11 +02:00
parent b2629dcfb5
commit f967676088
16 changed files with 4524 additions and 1919 deletions

View File

@ -119,6 +119,29 @@ X ~ 2 0 -150 110 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device:CP
#
DEF Device:CP C 0 10 N Y 1 F N
F0 "C" 25 100 50 H V L CNN
F1 "Device:CP" 25 -100 50 H V L CNN
F2 "" 38 -150 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
CP_*
$ENDFPLIST
DRAW
S -90 20 -90 40 0 1 0 N
S -90 20 90 20 0 1 0 N
S 90 -20 -90 -40 0 1 0 F
S 90 40 -90 40 0 1 0 N
S 90 40 90 20 0 1 0 N
P 2 0 1 0 -70 90 -30 90 N
P 2 0 1 0 -50 110 -50 70 N
X ~ 1 0 150 110 D 50 50 1 1 P
X ~ 2 0 -150 110 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device:R
#
DEF Device:R R 0 0 N Y 1 F N

File diff suppressed because it is too large Load Diff

View File

@ -1,12 +1,12 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.0.0-3-g5ebb6b6)*
G04 #@! TF.CreationDate,2018-08-01T17:04:44+02:00*
G04 #@! TF.CreationDate,2018-08-02T21:25:15+02:00*
G04 #@! TF.ProjectId,esp32-sensornode,65737033322D73656E736F726E6F6465,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Soldermask,Bot*
G04 #@! TF.FilePolarity,Negative*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (5.0.0-3-g5ebb6b6)) date Wednesday, 01. August 2018 um 17:04:44*
G04 Created by KiCad (PCBNEW (5.0.0-3-g5ebb6b6)) date Thursday, 02 August 2018 at 21:25:15*
%MOMM*%
%LPD*%
G01*
@ -16,24 +16,25 @@ G04 APERTURE LIST*
%ADD12R,3.900000X3.900000*%
%ADD13C,3.400000*%
%ADD14C,1.900000*%
%ADD15C,2.000000*%
%ADD16O,2.000000X2.000000*%
%ADD17C,1.800000*%
%ADD18R,2.600000X2.600000*%
%ADD19O,2.600000X2.600000*%
%ADD20R,2.100000X2.100000*%
%ADD21O,2.100000X2.100000*%
%ADD15C,1.800000*%
%ADD16R,2.600000X2.600000*%
%ADD17O,2.600000X2.600000*%
%ADD18R,2.100000X2.100000*%
%ADD19O,2.100000X2.100000*%
%ADD20C,2.000000*%
%ADD21O,2.000000X2.000000*%
%ADD22C,0.100000*%
%ADD23C,0.800000*%
%ADD24C,2.400000*%
%ADD25C,1.550000*%
%ADD26R,2.400000X2.400000*%
G04 APERTURE END LIST*
D10*
G04 #@! TO.C,REF\002A\002A*
X180340000Y-130175000D03*
G04 #@! TD*
G04 #@! TO.C,REF\002A\002A*
X229235000Y-53340000D03*
X229870000Y-56515000D03*
G04 #@! TD*
D11*
G04 #@! TO.C,BT1*
@ -47,73 +48,67 @@ D14*
X179580000Y-123600000D03*
G04 #@! TD*
D15*
G04 #@! TO.C,C1*
X203200000Y-59690000D03*
D16*
X203200000Y-52190000D03*
G04 #@! TD*
D17*
G04 #@! TO.C,C3*
X205105000Y-125730000D03*
X207605000Y-125730000D03*
X205105000Y-107315000D03*
X207605000Y-107315000D03*
G04 #@! TD*
D18*
D16*
G04 #@! TO.C,D1*
X199390000Y-130175000D03*
D19*
D17*
X189230000Y-130175000D03*
G04 #@! TD*
D20*
D18*
G04 #@! TO.C,J2*
X228600000Y-119380000D03*
D21*
D19*
X228600000Y-116840000D03*
X228600000Y-114300000D03*
X228600000Y-111760000D03*
X228600000Y-109220000D03*
X228600000Y-106680000D03*
G04 #@! TD*
D20*
D18*
G04 #@! TO.C,J3*
X207645000Y-91440000D03*
D21*
D19*
X207645000Y-93980000D03*
X207645000Y-96520000D03*
X207645000Y-99060000D03*
G04 #@! TD*
D20*
D18*
G04 #@! TO.C,J4*
X228600000Y-95885000D03*
D21*
D19*
X228600000Y-98425000D03*
X228600000Y-100965000D03*
G04 #@! TD*
D15*
D20*
G04 #@! TO.C,R1*
X203200000Y-72390000D03*
D16*
D21*
X203200000Y-62230000D03*
G04 #@! TD*
G04 #@! TO.C,R2*
X223520000Y-82550000D03*
D15*
D20*
X213360000Y-82550000D03*
G04 #@! TD*
D16*
D21*
G04 #@! TO.C,R3*
X223520000Y-92075000D03*
D15*
D20*
X213360000Y-92075000D03*
G04 #@! TD*
G04 #@! TO.C,R6*
X203200000Y-100330000D03*
D16*
D21*
X203200000Y-90170000D03*
G04 #@! TD*
D15*
D20*
G04 #@! TO.C,R7*
X203200000Y-86360000D03*
D16*
D21*
X203200000Y-76200000D03*
G04 #@! TD*
D22*
@ -650,163 +645,163 @@ X225425000Y-130810000D03*
X229925000Y-124310000D03*
X225425000Y-124310000D03*
G04 #@! TD*
D15*
D20*
G04 #@! TO.C,R8*
X223520000Y-97155000D03*
D16*
D21*
X213360000Y-97155000D03*
G04 #@! TD*
D22*
G04 #@! TO.C,C4*
G36*
X195541071Y-61456623D02*
X195573781Y-61461475D01*
X195605857Y-61469509D01*
X195636991Y-61480649D01*
X195666884Y-61494787D01*
X195695247Y-61511787D01*
X195721807Y-61531485D01*
X195746308Y-61553692D01*
X195768515Y-61578193D01*
X195788213Y-61604753D01*
X195805213Y-61633116D01*
X195819351Y-61663009D01*
X195830491Y-61694143D01*
X195838525Y-61726219D01*
X195843377Y-61758929D01*
X195845000Y-61791956D01*
X195845000Y-62668044D01*
X195843377Y-62701071D01*
X195838525Y-62733781D01*
X195830491Y-62765857D01*
X195819351Y-62796991D01*
X195805213Y-62826884D01*
X195788213Y-62855247D01*
X195768515Y-62881807D01*
X195746308Y-62906308D01*
X195721807Y-62928515D01*
X195695247Y-62948213D01*
X195666884Y-62965213D01*
X195636991Y-62979351D01*
X195605857Y-62990491D01*
X195573781Y-62998525D01*
X195541071Y-63003377D01*
X195508044Y-63005000D01*
X194381956Y-63005000D01*
X194348929Y-63003377D01*
X194316219Y-62998525D01*
X194284143Y-62990491D01*
X194253009Y-62979351D01*
X194223116Y-62965213D01*
X194194753Y-62948213D01*
X194168193Y-62928515D01*
X194143692Y-62906308D01*
X194121485Y-62881807D01*
X194101787Y-62855247D01*
X194084787Y-62826884D01*
X194070649Y-62796991D01*
X194059509Y-62765857D01*
X194051475Y-62733781D01*
X194046623Y-62701071D01*
X194045000Y-62668044D01*
X194045000Y-61791956D01*
X194046623Y-61758929D01*
X194051475Y-61726219D01*
X194059509Y-61694143D01*
X194070649Y-61663009D01*
X194084787Y-61633116D01*
X194101787Y-61604753D01*
X194121485Y-61578193D01*
X194143692Y-61553692D01*
X194168193Y-61531485D01*
X194194753Y-61511787D01*
X194223116Y-61494787D01*
X194253009Y-61480649D01*
X194284143Y-61469509D01*
X194316219Y-61461475D01*
X194348929Y-61456623D01*
X194381956Y-61455000D01*
X195508044Y-61455000D01*
X195541071Y-61456623D01*
X195541071Y-61456623D01*
X198346071Y-63871623D02*
X198378781Y-63876475D01*
X198410857Y-63884509D01*
X198441991Y-63895649D01*
X198471884Y-63909787D01*
X198500247Y-63926787D01*
X198526807Y-63946485D01*
X198551308Y-63968692D01*
X198573515Y-63993193D01*
X198593213Y-64019753D01*
X198610213Y-64048116D01*
X198624351Y-64078009D01*
X198635491Y-64109143D01*
X198643525Y-64141219D01*
X198648377Y-64173929D01*
X198650000Y-64206956D01*
X198650000Y-65333044D01*
X198648377Y-65366071D01*
X198643525Y-65398781D01*
X198635491Y-65430857D01*
X198624351Y-65461991D01*
X198610213Y-65491884D01*
X198593213Y-65520247D01*
X198573515Y-65546807D01*
X198551308Y-65571308D01*
X198526807Y-65593515D01*
X198500247Y-65613213D01*
X198471884Y-65630213D01*
X198441991Y-65644351D01*
X198410857Y-65655491D01*
X198378781Y-65663525D01*
X198346071Y-65668377D01*
X198313044Y-65670000D01*
X197436956Y-65670000D01*
X197403929Y-65668377D01*
X197371219Y-65663525D01*
X197339143Y-65655491D01*
X197308009Y-65644351D01*
X197278116Y-65630213D01*
X197249753Y-65613213D01*
X197223193Y-65593515D01*
X197198692Y-65571308D01*
X197176485Y-65546807D01*
X197156787Y-65520247D01*
X197139787Y-65491884D01*
X197125649Y-65461991D01*
X197114509Y-65430857D01*
X197106475Y-65398781D01*
X197101623Y-65366071D01*
X197100000Y-65333044D01*
X197100000Y-64206956D01*
X197101623Y-64173929D01*
X197106475Y-64141219D01*
X197114509Y-64109143D01*
X197125649Y-64078009D01*
X197139787Y-64048116D01*
X197156787Y-64019753D01*
X197176485Y-63993193D01*
X197198692Y-63968692D01*
X197223193Y-63946485D01*
X197249753Y-63926787D01*
X197278116Y-63909787D01*
X197308009Y-63895649D01*
X197339143Y-63884509D01*
X197371219Y-63876475D01*
X197403929Y-63871623D01*
X197436956Y-63870000D01*
X198313044Y-63870000D01*
X198346071Y-63871623D01*
X198346071Y-63871623D01*
G37*
D25*
X194945000Y-62230000D03*
X197875000Y-64770000D03*
D22*
G36*
X195541071Y-59406623D02*
X195573781Y-59411475D01*
X195605857Y-59419509D01*
X195636991Y-59430649D01*
X195666884Y-59444787D01*
X195695247Y-59461787D01*
X195721807Y-59481485D01*
X195746308Y-59503692D01*
X195768515Y-59528193D01*
X195788213Y-59554753D01*
X195805213Y-59583116D01*
X195819351Y-59613009D01*
X195830491Y-59644143D01*
X195838525Y-59676219D01*
X195843377Y-59708929D01*
X195845000Y-59741956D01*
X195845000Y-60618044D01*
X195843377Y-60651071D01*
X195838525Y-60683781D01*
X195830491Y-60715857D01*
X195819351Y-60746991D01*
X195805213Y-60776884D01*
X195788213Y-60805247D01*
X195768515Y-60831807D01*
X195746308Y-60856308D01*
X195721807Y-60878515D01*
X195695247Y-60898213D01*
X195666884Y-60915213D01*
X195636991Y-60929351D01*
X195605857Y-60940491D01*
X195573781Y-60948525D01*
X195541071Y-60953377D01*
X195508044Y-60955000D01*
X194381956Y-60955000D01*
X194348929Y-60953377D01*
X194316219Y-60948525D01*
X194284143Y-60940491D01*
X194253009Y-60929351D01*
X194223116Y-60915213D01*
X194194753Y-60898213D01*
X194168193Y-60878515D01*
X194143692Y-60856308D01*
X194121485Y-60831807D01*
X194101787Y-60805247D01*
X194084787Y-60776884D01*
X194070649Y-60746991D01*
X194059509Y-60715857D01*
X194051475Y-60683781D01*
X194046623Y-60651071D01*
X194045000Y-60618044D01*
X194045000Y-59741956D01*
X194046623Y-59708929D01*
X194051475Y-59676219D01*
X194059509Y-59644143D01*
X194070649Y-59613009D01*
X194084787Y-59583116D01*
X194101787Y-59554753D01*
X194121485Y-59528193D01*
X194143692Y-59503692D01*
X194168193Y-59481485D01*
X194194753Y-59461787D01*
X194223116Y-59444787D01*
X194253009Y-59430649D01*
X194284143Y-59419509D01*
X194316219Y-59411475D01*
X194348929Y-59406623D01*
X194381956Y-59405000D01*
X195508044Y-59405000D01*
X195541071Y-59406623D01*
X195541071Y-59406623D01*
X196296071Y-63871623D02*
X196328781Y-63876475D01*
X196360857Y-63884509D01*
X196391991Y-63895649D01*
X196421884Y-63909787D01*
X196450247Y-63926787D01*
X196476807Y-63946485D01*
X196501308Y-63968692D01*
X196523515Y-63993193D01*
X196543213Y-64019753D01*
X196560213Y-64048116D01*
X196574351Y-64078009D01*
X196585491Y-64109143D01*
X196593525Y-64141219D01*
X196598377Y-64173929D01*
X196600000Y-64206956D01*
X196600000Y-65333044D01*
X196598377Y-65366071D01*
X196593525Y-65398781D01*
X196585491Y-65430857D01*
X196574351Y-65461991D01*
X196560213Y-65491884D01*
X196543213Y-65520247D01*
X196523515Y-65546807D01*
X196501308Y-65571308D01*
X196476807Y-65593515D01*
X196450247Y-65613213D01*
X196421884Y-65630213D01*
X196391991Y-65644351D01*
X196360857Y-65655491D01*
X196328781Y-65663525D01*
X196296071Y-65668377D01*
X196263044Y-65670000D01*
X195386956Y-65670000D01*
X195353929Y-65668377D01*
X195321219Y-65663525D01*
X195289143Y-65655491D01*
X195258009Y-65644351D01*
X195228116Y-65630213D01*
X195199753Y-65613213D01*
X195173193Y-65593515D01*
X195148692Y-65571308D01*
X195126485Y-65546807D01*
X195106787Y-65520247D01*
X195089787Y-65491884D01*
X195075649Y-65461991D01*
X195064509Y-65430857D01*
X195056475Y-65398781D01*
X195051623Y-65366071D01*
X195050000Y-65333044D01*
X195050000Y-64206956D01*
X195051623Y-64173929D01*
X195056475Y-64141219D01*
X195064509Y-64109143D01*
X195075649Y-64078009D01*
X195089787Y-64048116D01*
X195106787Y-64019753D01*
X195126485Y-63993193D01*
X195148692Y-63968692D01*
X195173193Y-63946485D01*
X195199753Y-63926787D01*
X195228116Y-63909787D01*
X195258009Y-63895649D01*
X195289143Y-63884509D01*
X195321219Y-63876475D01*
X195353929Y-63871623D01*
X195386956Y-63870000D01*
X196263044Y-63870000D01*
X196296071Y-63871623D01*
X196296071Y-63871623D01*
G37*
D25*
X194945000Y-60180000D03*
X195825000Y-64770000D03*
G04 #@! TD*
D22*
G04 #@! TO.C,C2*
@ -960,10 +955,10 @@ G37*
D25*
X182245000Y-98815000D03*
G04 #@! TD*
D20*
D18*
G04 #@! TO.C,J1*
X215265000Y-115570000D03*
D21*
D19*
X217805000Y-115570000D03*
X215265000Y-118110000D03*
X217805000Y-118110000D03*
@ -1282,4 +1277,476 @@ G37*
D25*
X195580000Y-93952500D03*
G04 #@! TD*
D20*
G04 #@! TO.C,C1*
X203200000Y-59690000D03*
X203200000Y-54690000D03*
G04 #@! TD*
D26*
G04 #@! TO.C,C5*
X206375000Y-113030000D03*
D24*
X206375000Y-118030000D03*
G04 #@! TD*
G04 #@! TO.C,C6*
X206375000Y-124540000D03*
D26*
X206375000Y-129540000D03*
G04 #@! TD*
D22*
G04 #@! TO.C,C7*
G36*
X193001071Y-56766623D02*
X193033781Y-56771475D01*
X193065857Y-56779509D01*
X193096991Y-56790649D01*
X193126884Y-56804787D01*
X193155247Y-56821787D01*
X193181807Y-56841485D01*
X193206308Y-56863692D01*
X193228515Y-56888193D01*
X193248213Y-56914753D01*
X193265213Y-56943116D01*
X193279351Y-56973009D01*
X193290491Y-57004143D01*
X193298525Y-57036219D01*
X193303377Y-57068929D01*
X193305000Y-57101956D01*
X193305000Y-57978044D01*
X193303377Y-58011071D01*
X193298525Y-58043781D01*
X193290491Y-58075857D01*
X193279351Y-58106991D01*
X193265213Y-58136884D01*
X193248213Y-58165247D01*
X193228515Y-58191807D01*
X193206308Y-58216308D01*
X193181807Y-58238515D01*
X193155247Y-58258213D01*
X193126884Y-58275213D01*
X193096991Y-58289351D01*
X193065857Y-58300491D01*
X193033781Y-58308525D01*
X193001071Y-58313377D01*
X192968044Y-58315000D01*
X191841956Y-58315000D01*
X191808929Y-58313377D01*
X191776219Y-58308525D01*
X191744143Y-58300491D01*
X191713009Y-58289351D01*
X191683116Y-58275213D01*
X191654753Y-58258213D01*
X191628193Y-58238515D01*
X191603692Y-58216308D01*
X191581485Y-58191807D01*
X191561787Y-58165247D01*
X191544787Y-58136884D01*
X191530649Y-58106991D01*
X191519509Y-58075857D01*
X191511475Y-58043781D01*
X191506623Y-58011071D01*
X191505000Y-57978044D01*
X191505000Y-57101956D01*
X191506623Y-57068929D01*
X191511475Y-57036219D01*
X191519509Y-57004143D01*
X191530649Y-56973009D01*
X191544787Y-56943116D01*
X191561787Y-56914753D01*
X191581485Y-56888193D01*
X191603692Y-56863692D01*
X191628193Y-56841485D01*
X191654753Y-56821787D01*
X191683116Y-56804787D01*
X191713009Y-56790649D01*
X191744143Y-56779509D01*
X191776219Y-56771475D01*
X191808929Y-56766623D01*
X191841956Y-56765000D01*
X192968044Y-56765000D01*
X193001071Y-56766623D01*
X193001071Y-56766623D01*
G37*
D25*
X192405000Y-57540000D03*
D22*
G36*
X193001071Y-54716623D02*
X193033781Y-54721475D01*
X193065857Y-54729509D01*
X193096991Y-54740649D01*
X193126884Y-54754787D01*
X193155247Y-54771787D01*
X193181807Y-54791485D01*
X193206308Y-54813692D01*
X193228515Y-54838193D01*
X193248213Y-54864753D01*
X193265213Y-54893116D01*
X193279351Y-54923009D01*
X193290491Y-54954143D01*
X193298525Y-54986219D01*
X193303377Y-55018929D01*
X193305000Y-55051956D01*
X193305000Y-55928044D01*
X193303377Y-55961071D01*
X193298525Y-55993781D01*
X193290491Y-56025857D01*
X193279351Y-56056991D01*
X193265213Y-56086884D01*
X193248213Y-56115247D01*
X193228515Y-56141807D01*
X193206308Y-56166308D01*
X193181807Y-56188515D01*
X193155247Y-56208213D01*
X193126884Y-56225213D01*
X193096991Y-56239351D01*
X193065857Y-56250491D01*
X193033781Y-56258525D01*
X193001071Y-56263377D01*
X192968044Y-56265000D01*
X191841956Y-56265000D01*
X191808929Y-56263377D01*
X191776219Y-56258525D01*
X191744143Y-56250491D01*
X191713009Y-56239351D01*
X191683116Y-56225213D01*
X191654753Y-56208213D01*
X191628193Y-56188515D01*
X191603692Y-56166308D01*
X191581485Y-56141807D01*
X191561787Y-56115247D01*
X191544787Y-56086884D01*
X191530649Y-56056991D01*
X191519509Y-56025857D01*
X191511475Y-55993781D01*
X191506623Y-55961071D01*
X191505000Y-55928044D01*
X191505000Y-55051956D01*
X191506623Y-55018929D01*
X191511475Y-54986219D01*
X191519509Y-54954143D01*
X191530649Y-54923009D01*
X191544787Y-54893116D01*
X191561787Y-54864753D01*
X191581485Y-54838193D01*
X191603692Y-54813692D01*
X191628193Y-54791485D01*
X191654753Y-54771787D01*
X191683116Y-54754787D01*
X191713009Y-54740649D01*
X191744143Y-54729509D01*
X191776219Y-54721475D01*
X191808929Y-54716623D01*
X191841956Y-54715000D01*
X192968044Y-54715000D01*
X193001071Y-54716623D01*
X193001071Y-54716623D01*
G37*
D25*
X192405000Y-55490000D03*
G04 #@! TD*
D22*
G04 #@! TO.C,C8*
G36*
X196176071Y-54716623D02*
X196208781Y-54721475D01*
X196240857Y-54729509D01*
X196271991Y-54740649D01*
X196301884Y-54754787D01*
X196330247Y-54771787D01*
X196356807Y-54791485D01*
X196381308Y-54813692D01*
X196403515Y-54838193D01*
X196423213Y-54864753D01*
X196440213Y-54893116D01*
X196454351Y-54923009D01*
X196465491Y-54954143D01*
X196473525Y-54986219D01*
X196478377Y-55018929D01*
X196480000Y-55051956D01*
X196480000Y-55928044D01*
X196478377Y-55961071D01*
X196473525Y-55993781D01*
X196465491Y-56025857D01*
X196454351Y-56056991D01*
X196440213Y-56086884D01*
X196423213Y-56115247D01*
X196403515Y-56141807D01*
X196381308Y-56166308D01*
X196356807Y-56188515D01*
X196330247Y-56208213D01*
X196301884Y-56225213D01*
X196271991Y-56239351D01*
X196240857Y-56250491D01*
X196208781Y-56258525D01*
X196176071Y-56263377D01*
X196143044Y-56265000D01*
X195016956Y-56265000D01*
X194983929Y-56263377D01*
X194951219Y-56258525D01*
X194919143Y-56250491D01*
X194888009Y-56239351D01*
X194858116Y-56225213D01*
X194829753Y-56208213D01*
X194803193Y-56188515D01*
X194778692Y-56166308D01*
X194756485Y-56141807D01*
X194736787Y-56115247D01*
X194719787Y-56086884D01*
X194705649Y-56056991D01*
X194694509Y-56025857D01*
X194686475Y-55993781D01*
X194681623Y-55961071D01*
X194680000Y-55928044D01*
X194680000Y-55051956D01*
X194681623Y-55018929D01*
X194686475Y-54986219D01*
X194694509Y-54954143D01*
X194705649Y-54923009D01*
X194719787Y-54893116D01*
X194736787Y-54864753D01*
X194756485Y-54838193D01*
X194778692Y-54813692D01*
X194803193Y-54791485D01*
X194829753Y-54771787D01*
X194858116Y-54754787D01*
X194888009Y-54740649D01*
X194919143Y-54729509D01*
X194951219Y-54721475D01*
X194983929Y-54716623D01*
X195016956Y-54715000D01*
X196143044Y-54715000D01*
X196176071Y-54716623D01*
X196176071Y-54716623D01*
G37*
D25*
X195580000Y-55490000D03*
D22*
G36*
X196176071Y-56766623D02*
X196208781Y-56771475D01*
X196240857Y-56779509D01*
X196271991Y-56790649D01*
X196301884Y-56804787D01*
X196330247Y-56821787D01*
X196356807Y-56841485D01*
X196381308Y-56863692D01*
X196403515Y-56888193D01*
X196423213Y-56914753D01*
X196440213Y-56943116D01*
X196454351Y-56973009D01*
X196465491Y-57004143D01*
X196473525Y-57036219D01*
X196478377Y-57068929D01*
X196480000Y-57101956D01*
X196480000Y-57978044D01*
X196478377Y-58011071D01*
X196473525Y-58043781D01*
X196465491Y-58075857D01*
X196454351Y-58106991D01*
X196440213Y-58136884D01*
X196423213Y-58165247D01*
X196403515Y-58191807D01*
X196381308Y-58216308D01*
X196356807Y-58238515D01*
X196330247Y-58258213D01*
X196301884Y-58275213D01*
X196271991Y-58289351D01*
X196240857Y-58300491D01*
X196208781Y-58308525D01*
X196176071Y-58313377D01*
X196143044Y-58315000D01*
X195016956Y-58315000D01*
X194983929Y-58313377D01*
X194951219Y-58308525D01*
X194919143Y-58300491D01*
X194888009Y-58289351D01*
X194858116Y-58275213D01*
X194829753Y-58258213D01*
X194803193Y-58238515D01*
X194778692Y-58216308D01*
X194756485Y-58191807D01*
X194736787Y-58165247D01*
X194719787Y-58136884D01*
X194705649Y-58106991D01*
X194694509Y-58075857D01*
X194686475Y-58043781D01*
X194681623Y-58011071D01*
X194680000Y-57978044D01*
X194680000Y-57101956D01*
X194681623Y-57068929D01*
X194686475Y-57036219D01*
X194694509Y-57004143D01*
X194705649Y-56973009D01*
X194719787Y-56943116D01*
X194736787Y-56914753D01*
X194756485Y-56888193D01*
X194778692Y-56863692D01*
X194803193Y-56841485D01*
X194829753Y-56821787D01*
X194858116Y-56804787D01*
X194888009Y-56790649D01*
X194919143Y-56779509D01*
X194951219Y-56771475D01*
X194983929Y-56766623D01*
X195016956Y-56765000D01*
X196143044Y-56765000D01*
X196176071Y-56766623D01*
X196176071Y-56766623D01*
G37*
D25*
X195580000Y-57540000D03*
G04 #@! TD*
D22*
G04 #@! TO.C,C9*
G36*
X199351071Y-56766623D02*
X199383781Y-56771475D01*
X199415857Y-56779509D01*
X199446991Y-56790649D01*
X199476884Y-56804787D01*
X199505247Y-56821787D01*
X199531807Y-56841485D01*
X199556308Y-56863692D01*
X199578515Y-56888193D01*
X199598213Y-56914753D01*
X199615213Y-56943116D01*
X199629351Y-56973009D01*
X199640491Y-57004143D01*
X199648525Y-57036219D01*
X199653377Y-57068929D01*
X199655000Y-57101956D01*
X199655000Y-57978044D01*
X199653377Y-58011071D01*
X199648525Y-58043781D01*
X199640491Y-58075857D01*
X199629351Y-58106991D01*
X199615213Y-58136884D01*
X199598213Y-58165247D01*
X199578515Y-58191807D01*
X199556308Y-58216308D01*
X199531807Y-58238515D01*
X199505247Y-58258213D01*
X199476884Y-58275213D01*
X199446991Y-58289351D01*
X199415857Y-58300491D01*
X199383781Y-58308525D01*
X199351071Y-58313377D01*
X199318044Y-58315000D01*
X198191956Y-58315000D01*
X198158929Y-58313377D01*
X198126219Y-58308525D01*
X198094143Y-58300491D01*
X198063009Y-58289351D01*
X198033116Y-58275213D01*
X198004753Y-58258213D01*
X197978193Y-58238515D01*
X197953692Y-58216308D01*
X197931485Y-58191807D01*
X197911787Y-58165247D01*
X197894787Y-58136884D01*
X197880649Y-58106991D01*
X197869509Y-58075857D01*
X197861475Y-58043781D01*
X197856623Y-58011071D01*
X197855000Y-57978044D01*
X197855000Y-57101956D01*
X197856623Y-57068929D01*
X197861475Y-57036219D01*
X197869509Y-57004143D01*
X197880649Y-56973009D01*
X197894787Y-56943116D01*
X197911787Y-56914753D01*
X197931485Y-56888193D01*
X197953692Y-56863692D01*
X197978193Y-56841485D01*
X198004753Y-56821787D01*
X198033116Y-56804787D01*
X198063009Y-56790649D01*
X198094143Y-56779509D01*
X198126219Y-56771475D01*
X198158929Y-56766623D01*
X198191956Y-56765000D01*
X199318044Y-56765000D01*
X199351071Y-56766623D01*
X199351071Y-56766623D01*
G37*
D25*
X198755000Y-57540000D03*
D22*
G36*
X199351071Y-54716623D02*
X199383781Y-54721475D01*
X199415857Y-54729509D01*
X199446991Y-54740649D01*
X199476884Y-54754787D01*
X199505247Y-54771787D01*
X199531807Y-54791485D01*
X199556308Y-54813692D01*
X199578515Y-54838193D01*
X199598213Y-54864753D01*
X199615213Y-54893116D01*
X199629351Y-54923009D01*
X199640491Y-54954143D01*
X199648525Y-54986219D01*
X199653377Y-55018929D01*
X199655000Y-55051956D01*
X199655000Y-55928044D01*
X199653377Y-55961071D01*
X199648525Y-55993781D01*
X199640491Y-56025857D01*
X199629351Y-56056991D01*
X199615213Y-56086884D01*
X199598213Y-56115247D01*
X199578515Y-56141807D01*
X199556308Y-56166308D01*
X199531807Y-56188515D01*
X199505247Y-56208213D01*
X199476884Y-56225213D01*
X199446991Y-56239351D01*
X199415857Y-56250491D01*
X199383781Y-56258525D01*
X199351071Y-56263377D01*
X199318044Y-56265000D01*
X198191956Y-56265000D01*
X198158929Y-56263377D01*
X198126219Y-56258525D01*
X198094143Y-56250491D01*
X198063009Y-56239351D01*
X198033116Y-56225213D01*
X198004753Y-56208213D01*
X197978193Y-56188515D01*
X197953692Y-56166308D01*
X197931485Y-56141807D01*
X197911787Y-56115247D01*
X197894787Y-56086884D01*
X197880649Y-56056991D01*
X197869509Y-56025857D01*
X197861475Y-55993781D01*
X197856623Y-55961071D01*
X197855000Y-55928044D01*
X197855000Y-55051956D01*
X197856623Y-55018929D01*
X197861475Y-54986219D01*
X197869509Y-54954143D01*
X197880649Y-54923009D01*
X197894787Y-54893116D01*
X197911787Y-54864753D01*
X197931485Y-54838193D01*
X197953692Y-54813692D01*
X197978193Y-54791485D01*
X198004753Y-54771787D01*
X198033116Y-54754787D01*
X198063009Y-54740649D01*
X198094143Y-54729509D01*
X198126219Y-54721475D01*
X198158929Y-54716623D01*
X198191956Y-54715000D01*
X199318044Y-54715000D01*
X199351071Y-54716623D01*
X199351071Y-54716623D01*
G37*
D25*
X198755000Y-55490000D03*
G04 #@! TD*
M02*

View File

@ -1,12 +1,12 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.0.0-3-g5ebb6b6)*
G04 #@! TF.CreationDate,2018-08-01T17:04:44+02:00*
G04 #@! TF.CreationDate,2018-08-02T21:25:15+02:00*
G04 #@! TF.ProjectId,esp32-sensornode,65737033322D73656E736F726E6F6465,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Legend,Bot*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (5.0.0-3-g5ebb6b6)) date Wednesday, 01. August 2018 um 17:04:44*
G04 Created by KiCad (PCBNEW (5.0.0-3-g5ebb6b6)) date Thursday, 02 August 2018 at 21:25:15*
%MOMM*%
%LPD*%
G01*
@ -429,10 +429,10 @@ X188300000Y-96290000D01*
X185080000Y-99290000D02*
X185080000Y-96290000D01*
G04 #@! TO.C,C4*
X195655000Y-61466252D02*
X195655000Y-60943748D01*
X194235000Y-61466252D02*
X194235000Y-60943748D01*
X197111252Y-64060000D02*
X196588748Y-64060000D01*
X197111252Y-65480000D02*
X196588748Y-65480000D01*
G04 #@! TO.C,C2*
X181535000Y-97528748D02*
X181535000Y-98051252D01*
@ -448,6 +448,21 @@ X194870000Y-92666248D02*
X194870000Y-93188752D01*
X196290000Y-92666248D02*
X196290000Y-93188752D01*
G04 #@! TO.C,C7*
X193115000Y-56776252D02*
X193115000Y-56253748D01*
X191695000Y-56776252D02*
X191695000Y-56253748D01*
G04 #@! TO.C,C8*
X194870000Y-56776252D02*
X194870000Y-56253748D01*
X196290000Y-56776252D02*
X196290000Y-56253748D01*
G04 #@! TO.C,C9*
X199465000Y-56776252D02*
X199465000Y-56253748D01*
X198045000Y-56776252D02*
X198045000Y-56253748D01*
G04 #@! TO.C,U2*
D12*
X188592380Y-97028095D02*
@ -581,27 +596,27 @@ X182737619Y-100512619D01*
X182690000Y-100465000D01*
X182594761Y-100417380D01*
G04 #@! TO.C,C4*
X193397142Y-61038333D02*
X193444761Y-60990714D01*
X193492380Y-60847857D01*
X193492380Y-60752619D01*
X193444761Y-60609761D01*
X193349523Y-60514523D01*
X193254285Y-60466904D01*
X193063809Y-60419285D01*
X192920952Y-60419285D01*
X192730476Y-60466904D01*
X192635238Y-60514523D01*
X192540000Y-60609761D01*
X192492380Y-60752619D01*
X192492380Y-60847857D01*
X192540000Y-60990714D01*
X192587619Y-61038333D01*
X192825714Y-61895476D02*
X193492380Y-61895476D01*
X192444761Y-61657380D02*
X193159047Y-61419285D01*
X193159047Y-62038333D01*
X197016666Y-67032142D02*
X197064285Y-67079761D01*
X197207142Y-67127380D01*
X197302380Y-67127380D01*
X197445238Y-67079761D01*
X197540476Y-66984523D01*
X197588095Y-66889285D01*
X197635714Y-66698809D01*
X197635714Y-66555952D01*
X197588095Y-66365476D01*
X197540476Y-66270238D01*
X197445238Y-66175000D01*
X197302380Y-66127380D01*
X197207142Y-66127380D01*
X197064285Y-66175000D01*
X197016666Y-66222619D01*
X196159523Y-66460714D02*
X196159523Y-67127380D01*
X196397619Y-66079761D02*
X196635714Y-66794047D01*
X196016666Y-66794047D01*
G04 #@! TO.C,C2*
X180952142Y-97623333D02*
X180999761Y-97575714D01*
@ -679,5 +694,113 @@ X194382380Y-93522738D01*
X194382380Y-93284642D01*
X194334761Y-93189404D01*
X194287142Y-93141785D01*
G04 #@! TO.C,C7*
X194412142Y-56348333D02*
X194459761Y-56300714D01*
X194507380Y-56157857D01*
X194507380Y-56062619D01*
X194459761Y-55919761D01*
X194364523Y-55824523D01*
X194269285Y-55776904D01*
X194078809Y-55729285D01*
X193935952Y-55729285D01*
X193745476Y-55776904D01*
X193650238Y-55824523D01*
X193555000Y-55919761D01*
X193507380Y-56062619D01*
X193507380Y-56157857D01*
X193555000Y-56300714D01*
X193602619Y-56348333D01*
X193507380Y-56681666D02*
X193507380Y-57348333D01*
X194507380Y-56919761D01*
G04 #@! TO.C,C8*
X197587142Y-56348333D02*
X197634761Y-56300714D01*
X197682380Y-56157857D01*
X197682380Y-56062619D01*
X197634761Y-55919761D01*
X197539523Y-55824523D01*
X197444285Y-55776904D01*
X197253809Y-55729285D01*
X197110952Y-55729285D01*
X196920476Y-55776904D01*
X196825238Y-55824523D01*
X196730000Y-55919761D01*
X196682380Y-56062619D01*
X196682380Y-56157857D01*
X196730000Y-56300714D01*
X196777619Y-56348333D01*
X197110952Y-56919761D02*
X197063333Y-56824523D01*
X197015714Y-56776904D01*
X196920476Y-56729285D01*
X196872857Y-56729285D01*
X196777619Y-56776904D01*
X196730000Y-56824523D01*
X196682380Y-56919761D01*
X196682380Y-57110238D01*
X196730000Y-57205476D01*
X196777619Y-57253095D01*
X196872857Y-57300714D01*
X196920476Y-57300714D01*
X197015714Y-57253095D01*
X197063333Y-57205476D01*
X197110952Y-57110238D01*
X197110952Y-56919761D01*
X197158571Y-56824523D01*
X197206190Y-56776904D01*
X197301428Y-56729285D01*
X197491904Y-56729285D01*
X197587142Y-56776904D01*
X197634761Y-56824523D01*
X197682380Y-56919761D01*
X197682380Y-57110238D01*
X197634761Y-57205476D01*
X197587142Y-57253095D01*
X197491904Y-57300714D01*
X197301428Y-57300714D01*
X197206190Y-57253095D01*
X197158571Y-57205476D01*
X197110952Y-57110238D01*
G04 #@! TO.C,C9*
X200762142Y-56348333D02*
X200809761Y-56300714D01*
X200857380Y-56157857D01*
X200857380Y-56062619D01*
X200809761Y-55919761D01*
X200714523Y-55824523D01*
X200619285Y-55776904D01*
X200428809Y-55729285D01*
X200285952Y-55729285D01*
X200095476Y-55776904D01*
X200000238Y-55824523D01*
X199905000Y-55919761D01*
X199857380Y-56062619D01*
X199857380Y-56157857D01*
X199905000Y-56300714D01*
X199952619Y-56348333D01*
X200857380Y-56824523D02*
X200857380Y-57015000D01*
X200809761Y-57110238D01*
X200762142Y-57157857D01*
X200619285Y-57253095D01*
X200428809Y-57300714D01*
X200047857Y-57300714D01*
X199952619Y-57253095D01*
X199905000Y-57205476D01*
X199857380Y-57110238D01*
X199857380Y-56919761D01*
X199905000Y-56824523D01*
X199952619Y-56776904D01*
X200047857Y-56729285D01*
X200285952Y-56729285D01*
X200381190Y-56776904D01*
X200428809Y-56824523D01*
X200476428Y-56919761D01*
X200476428Y-57110238D01*
X200428809Y-57205476D01*
X200381190Y-57253095D01*
X200285952Y-57300714D01*
G04 #@! TD*
M02*

View File

@ -1,11 +1,11 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.0.0-3-g5ebb6b6)*
G04 #@! TF.CreationDate,2018-08-01T17:04:44+02:00*
G04 #@! TF.CreationDate,2018-08-02T21:25:15+02:00*
G04 #@! TF.ProjectId,esp32-sensornode,65737033322D73656E736F726E6F6465,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Profile,NP*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (5.0.0-3-g5ebb6b6)) date Wednesday, 01. August 2018 um 17:04:44*
G04 Created by KiCad (PCBNEW (5.0.0-3-g5ebb6b6)) date Thursday, 02 August 2018 at 21:25:15*
%MOMM*%
%LPD*%
G01*
@ -13,10 +13,20 @@ G04 APERTURE LIST*
%ADD10C,0.150000*%
G04 APERTURE END LIST*
D10*
X177800000Y-50800000D02*
X231800000Y-50800000D01*
X231800000Y-50800000D02*
X206375000Y-50800000D02*
X177800000Y-50800000D01*
X231800000Y-53975000D02*
X231800000Y-132800000D01*
X227330000Y-53975000D02*
X231800000Y-53975000D01*
X227330000Y-56515000D02*
X227330000Y-53975000D01*
X226695000Y-56515000D02*
X227330000Y-56515000D01*
X206375000Y-56515000D02*
X206375000Y-50800000D01*
X226695000Y-56515000D02*
X206375000Y-56515000D01*
X177800000Y-132800000D02*
X231800000Y-132800000D01*
X177800000Y-50800000D02*

View File

@ -1,12 +1,12 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.0.0-3-g5ebb6b6)*
G04 #@! TF.CreationDate,2018-08-01T17:04:44+02:00*
G04 #@! TF.CreationDate,2018-08-02T21:25:15+02:00*
G04 #@! TF.ProjectId,esp32-sensornode,65737033322D73656E736F726E6F6465,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Copper,L1,Top,Signal*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (5.0.0-3-g5ebb6b6)) date Wednesday, 01. August 2018 um 17:04:44*
G04 Created by KiCad (PCBNEW (5.0.0-3-g5ebb6b6)) date Thursday, 02 August 2018 at 21:25:15*
%MOMM*%
%LPD*%
G01*
@ -18,25 +18,25 @@ G04 #@! TA.AperFunction,ComponentPad*
%ADD11R,3.500000X3.500000*%
G04 #@! TD*
G04 #@! TA.AperFunction,ComponentPad*
%ADD12C,1.600000*%
%ADD12C,1.400000*%
G04 #@! TD*
G04 #@! TA.AperFunction,ComponentPad*
%ADD13O,1.600000X1.600000*%
%ADD13R,2.200000X2.200000*%
G04 #@! TD*
G04 #@! TA.AperFunction,ComponentPad*
%ADD14C,1.400000*%
%ADD14O,2.200000X2.200000*%
G04 #@! TD*
G04 #@! TA.AperFunction,ComponentPad*
%ADD15R,2.200000X2.200000*%
%ADD15R,1.700000X1.700000*%
G04 #@! TD*
G04 #@! TA.AperFunction,ComponentPad*
%ADD16O,2.200000X2.200000*%
%ADD16O,1.700000X1.700000*%
G04 #@! TD*
G04 #@! TA.AperFunction,ComponentPad*
%ADD17R,1.700000X1.700000*%
%ADD17C,1.600000*%
G04 #@! TD*
G04 #@! TA.AperFunction,ComponentPad*
%ADD18O,1.700000X1.700000*%
%ADD18O,1.600000X1.600000*%
G04 #@! TD*
G04 #@! TA.AperFunction,SMDPad,CuDef*
%ADD19O,2.500000X0.900000*%
@ -50,14 +50,17 @@ G04 #@! TD*
G04 #@! TA.AperFunction,ComponentPad*
%ADD22C,2.000000*%
G04 #@! TD*
G04 #@! TA.AperFunction,ComponentPad*
%ADD23R,2.000000X2.000000*%
G04 #@! TD*
G04 #@! TA.AperFunction,ViaPad*
%ADD23C,0.800000*%
%ADD24C,0.800000*%
G04 #@! TD*
G04 #@! TA.AperFunction,Conductor*
%ADD24C,0.500000*%
%ADD25C,0.500000*%
G04 #@! TD*
G04 #@! TA.AperFunction,Conductor*
%ADD25C,0.250000*%
%ADD26C,0.250000*%
G04 #@! TD*
G04 APERTURE END LIST*
D10*
@ -70,36 +73,27 @@ G04 #@! TO.N,Net-(BT1-Pad1)*
X189280000Y-125050000D03*
G04 #@! TD*
D12*
G04 #@! TO.P,C1,1*
G04 #@! TO.N,Net-(C1-Pad1)*
X203200000Y-59690000D03*
D13*
G04 #@! TO.P,C1,2*
G04 #@! TO.N,GND*
X203200000Y-52190000D03*
G04 #@! TD*
D14*
G04 #@! TO.P,C3,1*
G04 #@! TO.N,VCC*
X205105000Y-125730000D03*
G04 #@! TO.N,VDD*
X205105000Y-107315000D03*
G04 #@! TO.P,C3,2*
G04 #@! TO.N,GND*
X207605000Y-125730000D03*
X207605000Y-107315000D03*
G04 #@! TD*
D15*
D13*
G04 #@! TO.P,D1,1*
G04 #@! TO.N,VCC*
G04 #@! TO.N,VDD*
X199390000Y-130175000D03*
D16*
D14*
G04 #@! TO.P,D1,2*
G04 #@! TO.N,Net-(BT1-Pad1)*
X189230000Y-130175000D03*
G04 #@! TD*
D17*
D15*
G04 #@! TO.P,J2,1*
G04 #@! TO.N,Net-(J2-Pad1)*
G04 #@! TO.N,N/C*
X228600000Y-119380000D03*
D18*
D16*
G04 #@! TO.P,J2,2*
G04 #@! TO.N,/TX*
X228600000Y-116840000D03*
@ -107,20 +101,19 @@ G04 #@! TO.P,J2,3*
G04 #@! TO.N,/RX*
X228600000Y-114300000D03*
G04 #@! TO.P,J2,4*
G04 #@! TO.N,Net-(J2-Pad4)*
G04 #@! TO.N,N/C*
X228600000Y-111760000D03*
G04 #@! TO.P,J2,5*
G04 #@! TO.N,Net-(J2-Pad5)*
X228600000Y-109220000D03*
G04 #@! TO.P,J2,6*
G04 #@! TO.N,GND*
X228600000Y-106680000D03*
G04 #@! TD*
D17*
D15*
G04 #@! TO.P,J3,1*
G04 #@! TO.N,VCC*
G04 #@! TO.N,VDD*
X207645000Y-91440000D03*
D18*
D16*
G04 #@! TO.P,J3,2*
G04 #@! TO.N,GND*
X207645000Y-93980000D03*
@ -131,11 +124,11 @@ G04 #@! TO.P,J3,4*
G04 #@! TO.N,SDA*
X207645000Y-99060000D03*
G04 #@! TD*
D17*
D15*
G04 #@! TO.P,J4,1*
G04 #@! TO.N,VCC*
G04 #@! TO.N,VDD*
X228600000Y-95885000D03*
D18*
D16*
G04 #@! TO.P,J4,2*
G04 #@! TO.N,Net-(J4-Pad2)*
X228600000Y-98425000D03*
@ -143,11 +136,11 @@ G04 #@! TO.P,J4,3*
G04 #@! TO.N,GND*
X228600000Y-100965000D03*
G04 #@! TD*
D12*
D17*
G04 #@! TO.P,R1,1*
G04 #@! TO.N,VCC*
G04 #@! TO.N,VDD*
X203200000Y-72390000D03*
D13*
D18*
G04 #@! TO.P,R1,2*
G04 #@! TO.N,Net-(C1-Pad1)*
X203200000Y-62230000D03*
@ -155,33 +148,33 @@ G04 #@! TD*
G04 #@! TO.P,R2,2*
G04 #@! TO.N,Net-(R2-Pad2)*
X223520000Y-82550000D03*
D12*
D17*
G04 #@! TO.P,R2,1*
G04 #@! TO.N,GND*
X213360000Y-82550000D03*
G04 #@! TD*
D13*
D18*
G04 #@! TO.P,R3,2*
G04 #@! TO.N,/BOOT*
X223520000Y-92075000D03*
D12*
D17*
G04 #@! TO.P,R3,1*
G04 #@! TO.N,Net-(J4-Pad2)*
X213360000Y-92075000D03*
G04 #@! TD*
G04 #@! TO.P,R6,1*
G04 #@! TO.N,VCC*
G04 #@! TO.N,VDD*
X203200000Y-100330000D03*
D13*
D18*
G04 #@! TO.P,R6,2*
G04 #@! TO.N,VCC_SENS*
X203200000Y-90170000D03*
G04 #@! TD*
D12*
D17*
G04 #@! TO.P,R7,1*
G04 #@! TO.N,VCC_SENS*
X203200000Y-86360000D03*
D13*
D18*
G04 #@! TO.P,R7,2*
G04 #@! TO.N,GND*
X203200000Y-76200000D03*
@ -191,7 +184,7 @@ G04 #@! TO.P,U1,38*
G04 #@! TO.N,GND*
X226805000Y-58250000D03*
G04 #@! TO.P,U1,37*
G04 #@! TO.N,Net-(U1-Pad37)*
G04 #@! TO.N,N/C*
X226805000Y-59520000D03*
G04 #@! TO.P,U1,36*
G04 #@! TO.N,SCL*
@ -206,25 +199,19 @@ G04 #@! TO.P,U1,33*
G04 #@! TO.N,SDA*
X226805000Y-64600000D03*
G04 #@! TO.P,U1,32*
G04 #@! TO.N,Net-(U1-Pad32)*
G04 #@! TO.N,N/C*
X226805000Y-65870000D03*
G04 #@! TO.P,U1,31*
G04 #@! TO.N,Net-(U1-Pad31)*
X226805000Y-67140000D03*
G04 #@! TO.P,U1,30*
G04 #@! TO.N,Net-(U1-Pad30)*
X226805000Y-68410000D03*
G04 #@! TO.P,U1,29*
G04 #@! TO.N,Net-(U1-Pad29)*
X226805000Y-69680000D03*
G04 #@! TO.P,U1,28*
G04 #@! TO.N,Net-(U1-Pad28)*
X226805000Y-70950000D03*
G04 #@! TO.P,U1,27*
G04 #@! TO.N,Net-(U1-Pad27)*
X226805000Y-72220000D03*
G04 #@! TO.P,U1,26*
G04 #@! TO.N,Net-(U1-Pad26)*
X226805000Y-73490000D03*
G04 #@! TO.P,U1,25*
G04 #@! TO.N,/BOOT*
@ -237,22 +224,17 @@ G04 #@! TO.P,U1,23*
G04 #@! TO.N,Net-(J1-Pad13)*
X222250000Y-76250000D03*
G04 #@! TO.P,U1,22*
G04 #@! TO.N,Net-(U1-Pad22)*
G04 #@! TO.N,N/C*
X220980000Y-76250000D03*
G04 #@! TO.P,U1,21*
G04 #@! TO.N,Net-(U1-Pad21)*
X219710000Y-76250000D03*
G04 #@! TO.P,U1,20*
G04 #@! TO.N,Net-(U1-Pad20)*
X218440000Y-76250000D03*
G04 #@! TO.P,U1,19*
G04 #@! TO.N,Net-(U1-Pad19)*
X217170000Y-76250000D03*
G04 #@! TO.P,U1,18*
G04 #@! TO.N,Net-(U1-Pad18)*
X215900000Y-76250000D03*
G04 #@! TO.P,U1,17*
G04 #@! TO.N,Net-(U1-Pad17)*
X214630000Y-76250000D03*
G04 #@! TO.P,U1,16*
G04 #@! TO.N,Net-(J1-Pad9)*
@ -268,28 +250,21 @@ G04 #@! TO.P,U1,13*
G04 #@! TO.N,Net-(J1-Pad7)*
X208805000Y-73490000D03*
G04 #@! TO.P,U1,12*
G04 #@! TO.N,Net-(U1-Pad12)*
G04 #@! TO.N,N/C*
X208805000Y-72220000D03*
G04 #@! TO.P,U1,11*
G04 #@! TO.N,Net-(U1-Pad11)*
X208805000Y-70950000D03*
G04 #@! TO.P,U1,10*
G04 #@! TO.N,Net-(U1-Pad10)*
X208805000Y-69680000D03*
G04 #@! TO.P,U1,9*
G04 #@! TO.N,Net-(U1-Pad9)*
X208805000Y-68410000D03*
G04 #@! TO.P,U1,8*
G04 #@! TO.N,Net-(U1-Pad8)*
X208805000Y-67140000D03*
G04 #@! TO.P,U1,7*
G04 #@! TO.N,Net-(U1-Pad7)*
X208805000Y-65870000D03*
G04 #@! TO.P,U1,6*
G04 #@! TO.N,Net-(U1-Pad6)*
X208805000Y-64600000D03*
G04 #@! TO.P,U1,5*
G04 #@! TO.N,Net-(U1-Pad5)*
X208805000Y-63330000D03*
G04 #@! TO.P,U1,4*
G04 #@! TO.N,VCC_SENS*
@ -298,14 +273,14 @@ G04 #@! TO.P,U1,3*
G04 #@! TO.N,Net-(C1-Pad1)*
X208805000Y-60790000D03*
G04 #@! TO.P,U1,2*
G04 #@! TO.N,VCC*
G04 #@! TO.N,VDD*
X208805000Y-59520000D03*
G04 #@! TO.P,U1,1*
G04 #@! TO.N,GND*
X208805000Y-58250000D03*
D21*
G04 #@! TO.P,U1,39*
G04 #@! TO.N,Net-(U1-Pad39)*
G04 #@! TO.N,N/C*
X217505000Y-65950000D03*
G04 #@! TD*
D22*
@ -322,22 +297,22 @@ G04 #@! TO.P,SW1,1*
G04 #@! TO.N,Net-(R8-Pad1)*
X225425000Y-124310000D03*
G04 #@! TD*
D12*
D17*
G04 #@! TO.P,R8,1*
G04 #@! TO.N,Net-(R8-Pad1)*
X223520000Y-97155000D03*
D13*
D18*
G04 #@! TO.P,R8,2*
G04 #@! TO.N,GND*
X213360000Y-97155000D03*
G04 #@! TD*
D17*
D15*
G04 #@! TO.P,J1,1*
G04 #@! TO.N,VCC*
G04 #@! TO.N,VDD*
X215265000Y-115570000D03*
D18*
D16*
G04 #@! TO.P,J1,2*
G04 #@! TO.N,Net-(J1-Pad2)*
G04 #@! TO.N,N/C*
X217805000Y-115570000D03*
G04 #@! TO.P,J1,3*
G04 #@! TO.N,Net-(C1-Pad1)*
@ -374,16 +349,36 @@ G04 #@! TO.P,J1,14*
G04 #@! TO.N,GND*
X217805000Y-130810000D03*
G04 #@! TD*
D23*
D17*
G04 #@! TO.P,C1,1*
G04 #@! TO.N,Net-(C1-Pad1)*
X203200000Y-59690000D03*
G04 #@! TO.P,C1,2*
G04 #@! TO.N,GND*
X206375000Y-55880000D03*
X203200000Y-54690000D03*
G04 #@! TD*
D23*
G04 #@! TO.P,C5,1*
G04 #@! TO.N,VDD*
X206375000Y-113030000D03*
D22*
G04 #@! TO.P,C5,2*
G04 #@! TO.N,GND*
X206375000Y-118030000D03*
G04 #@! TD*
G04 #@! TO.P,C6,2*
G04 #@! TO.N,GND*
X206375000Y-124540000D03*
D23*
G04 #@! TO.P,C6,1*
G04 #@! TO.N,VDD*
X206375000Y-129540000D03*
G04 #@! TD*
D24*
G04 #@! TO.N,GND*
X205105000Y-56515000D03*
G04 #@! TO.N,Net-(C1-Pad1)*
X220345000Y-85090000D03*
G04 #@! TO.N,VCC*
X195580000Y-89535000D03*
X222250000Y-104775000D03*
X213360000Y-104775000D03*
X208915000Y-115570000D03*
G04 #@! TO.N,Net-(J1-Pad5)*
X213995000Y-85725000D03*
X219075000Y-86360000D03*
@ -395,8 +390,6 @@ X222250000Y-78740000D03*
X215265000Y-80010000D03*
G04 #@! TO.N,VCC_SENS*
X203200000Y-64770000D03*
X199390000Y-64770000D03*
X194945000Y-64135000D03*
G04 #@! TO.N,/TX*
X231140000Y-62865000D03*
G04 #@! TO.N,Net-(R8-Pad1)*
@ -409,8 +402,14 @@ G04 #@! TO.N,SDA*
X194310000Y-98425000D03*
X199390000Y-98425000D03*
X229870000Y-89535000D03*
G04 #@! TO.N,VDD*
X195580000Y-89535000D03*
X222250000Y-104775000D03*
X213360000Y-104775000D03*
X208915000Y-115570000D03*
X200660000Y-59690000D03*
G04 #@! TD*
D24*
D25*
G04 #@! TO.N,GND*
X209440000Y-58250000D02*
X208110000Y-58250000D01*
@ -426,20 +425,20 @@ X212090000Y-58250000D02*
X227440000Y-58250000D01*
X209440000Y-58250000D02*
X212090000Y-58250000D01*
X206375000Y-57570000D02*
X206375000Y-55880000D01*
X207055000Y-58250000D02*
X206375000Y-57570000D01*
X208805000Y-58250000D02*
X207055000Y-58250000D01*
D25*
X206840000Y-58250000D02*
X207055000Y-58250000D01*
X205105000Y-56515000D02*
X206840000Y-58250000D01*
D26*
G04 #@! TO.N,Net-(BT1-Pad1)*
X189280000Y-130125000D02*
X189230000Y-130175000D01*
D24*
D25*
X189280000Y-125050000D02*
X189280000Y-130125000D01*
D25*
D26*
G04 #@! TO.N,Net-(C1-Pad1)*
X204300000Y-60790000D02*
X203200000Y-59690000D01*
@ -453,151 +452,62 @@ X215265000Y-118110000D02*
X213360000Y-118110000D01*
X213360000Y-118110000D02*
X212725000Y-117475000D01*
X212725000Y-117475000D02*
X212725000Y-115570000D01*
X212725000Y-115570000D02*
X212725000Y-113665000D01*
X212725000Y-113665000D02*
X213360000Y-113030000D01*
X213360000Y-113030000D02*
X219075000Y-113030000D01*
X219075000Y-113030000D02*
X219076410Y-113030000D01*
X219710000Y-113030000D02*
X219075000Y-113030000D01*
X220345000Y-112395000D02*
X219710000Y-113030000D01*
X220345000Y-85090000D02*
X220345000Y-112395000D01*
X219710000Y-113030000D02*
X220345000Y-113665000D01*
X220345000Y-113665000D02*
X220345000Y-120015000D01*
X226695000Y-121920000D02*
X229235000Y-121920000D01*
X229925000Y-122610000D02*
X229925000Y-124310000D01*
X229235000Y-121920000D02*
X229925000Y-122610000D01*
X226695000Y-121920000D02*
X220980000Y-121920000D01*
X220980000Y-121920000D02*
X220345000Y-121285000D01*
X212725000Y-117475000D02*
X212725000Y-113665000D01*
X229235000Y-121920000D02*
X220980000Y-121920000D01*
X220345000Y-121285000D02*
X220345000Y-120015000D01*
D24*
G04 #@! TO.N,VCC*
X207645000Y-91440000D02*
X205740000Y-91440000D01*
X205740000Y-91440000D02*
X204470000Y-92710000D01*
X204470000Y-92710000D02*
X200660000Y-92710000D01*
X203200000Y-100330000D02*
X200660000Y-100330000D01*
X200660000Y-92710000D02*
X200660000Y-100330000D01*
X200660000Y-91440000D02*
X200660000Y-92710000D01*
X203200000Y-72390000D02*
X200660000Y-72390000D01*
X195580000Y-89535000D02*
X200660000Y-89535000D01*
X200660000Y-89535000D02*
X200660000Y-91440000D01*
X200660000Y-72390000D02*
X200660000Y-89535000D01*
X228600000Y-95885000D02*
X225425000Y-95885000D01*
X225425000Y-95885000D02*
X225425000Y-104775000D01*
X208915000Y-104775000D02*
X208915000Y-115570000D01*
X225425000Y-104775000D02*
X223520000Y-104775000D01*
X208915000Y-104775000D02*
X213360000Y-104775000D01*
X223520000Y-104775000D02*
X222250000Y-104775000D01*
X222250000Y-104775000D02*
X222250000Y-104775000D01*
X213360000Y-104775000D02*
X213360000Y-104775000D01*
X207055000Y-59520000D02*
X208805000Y-59520000D01*
X204470000Y-56935000D02*
X207055000Y-59520000D01*
X199390000Y-130175000D02*
X205105000Y-130175000D01*
X205105000Y-130175000D02*
X205105000Y-125730000D01*
X204470000Y-56935000D02*
X200875000Y-56935000D01*
X200660000Y-57150000D02*
X200660000Y-72390000D01*
X200875000Y-56935000D02*
X200660000Y-57150000D01*
X200660000Y-100330000D02*
X200660000Y-115570000D01*
X205105000Y-125730000D02*
X205105000Y-115570000D01*
X205105000Y-115570000D02*
X208915000Y-115570000D01*
X200660000Y-115570000D02*
X205105000Y-115570000D01*
D25*
X220345000Y-113665000D01*
X213360000Y-113030000D02*
X219710000Y-113030000D01*
G04 #@! TO.N,Net-(J1-Pad5)*
X215265000Y-120650000D02*
X212725000Y-120650000D01*
X212725000Y-120650000D02*
X212090000Y-120015000D01*
X212090000Y-120015000D02*
X212090000Y-117475000D01*
X212090000Y-117475000D02*
X212090000Y-113030000D01*
X212090000Y-113030000D02*
X212725000Y-112395000D01*
X208805000Y-74760000D02*
X207180000Y-74760000D01*
X207180000Y-74760000D02*
X207010000Y-74930000D01*
X207010000Y-74930000D02*
X207010000Y-83820000D01*
X218440000Y-112395000D02*
X219075000Y-111760000D01*
X212725000Y-112395000D02*
X218440000Y-112395000D01*
X207010000Y-83820000D02*
X207010000Y-84455000D01*
X207010000Y-84455000D02*
X207010000Y-85090000D01*
X207010000Y-85090000D02*
X207645000Y-85725000D01*
X207645000Y-85725000D02*
X213995000Y-85725000D01*
X213995000Y-85725000D02*
X213995000Y-85725000D01*
X207010000Y-85090000D02*
X207010000Y-74930000D01*
X212090000Y-113030000D02*
X212090000Y-120015000D01*
X219075000Y-86360000D02*
X219075000Y-99060000D01*
X219075000Y-111760000D02*
X219075000Y-99060000D01*
X219075000Y-111760000D01*
G04 #@! TO.N,Net-(J1-Pad7)*
X208805000Y-73490000D02*
X207180000Y-73490000D01*
X207180000Y-73490000D02*
X206375000Y-74295000D01*
X206375000Y-74295000D02*
X206375000Y-81915000D01*
X206375000Y-81915000D02*
X206375000Y-85091410D01*
X206375000Y-85091410D02*
X206375000Y-85725000D01*
X206375000Y-85725000D02*
X207645000Y-86995000D01*
X207645000Y-86995000D02*
X213995000Y-86995000D01*
X213995000Y-86995000D02*
X213995000Y-86995000D01*
X212090000Y-123190000D02*
X215265000Y-123190000D01*
X211455000Y-122555000D02*
@ -612,6 +522,8 @@ X217805000Y-111125000D02*
X217170000Y-111760000D01*
X211455000Y-112395000D02*
X211455000Y-122555000D01*
X206375000Y-74295000D02*
X206375000Y-85725000D01*
G04 #@! TO.N,Net-(J1-Pad9)*
X213995000Y-78740000D02*
X213360000Y-78105000D01*
@ -638,16 +550,8 @@ X211455000Y-125730000D01*
G04 #@! TO.N,Net-(J1-Pad13)*
X222250000Y-76250000D02*
X222250000Y-78740000D01*
X222250000Y-78740000D02*
X222250000Y-78740000D01*
X210820000Y-130810000D02*
X214062919Y-130810000D01*
X210185000Y-130175000D02*
X210820000Y-130810000D01*
X214062919Y-130810000D02*
X215265000Y-130810000D01*
X210185000Y-111125000D02*
X210185000Y-130175000D01*
X210820000Y-110490000D02*
X210185000Y-111125000D01*
X214630000Y-110490000D02*
@ -656,6 +560,12 @@ X215265000Y-109855000D02*
X214630000Y-110490000D01*
X215265000Y-80010000D02*
X215265000Y-109855000D01*
X210820000Y-130810000D02*
X214062919Y-130810000D01*
X210185000Y-130175000D02*
X210820000Y-130810000D01*
X210185000Y-111125000D02*
X210185000Y-130175000D01*
G04 #@! TO.N,Net-(R2-Pad2)*
X223520000Y-82550000D02*
X223520000Y-76250000D01*
@ -678,21 +588,11 @@ X205740000Y-64135000D02*
X205740000Y-62865000D01*
X205105000Y-64770000D02*
X203200000Y-64770000D01*
X203200000Y-64770000D02*
X203200000Y-64770000D01*
X199390000Y-64770000D02*
X195580000Y-64770000D01*
X195580000Y-64770000D02*
X194945000Y-64135000D01*
X194945000Y-64135000D02*
X194945000Y-64135000D01*
G04 #@! TO.N,/TX*
X226805000Y-62060000D02*
X230335000Y-62060000D01*
X230335000Y-62060000D02*
X231140000Y-62865000D01*
X231140000Y-62865000D02*
X231140000Y-62865000D01*
G04 #@! TO.N,/RX*
X227610000Y-63500000D02*
X227440000Y-63330000D01*
@ -709,22 +609,14 @@ X226975000Y-63500000D01*
G04 #@! TO.N,Net-(R8-Pad1)*
X223520000Y-97155000D02*
X223520000Y-103505000D01*
X223520000Y-103505000D02*
X223520000Y-103505000D01*
G04 #@! TO.N,SCL*
X194310000Y-97155000D02*
X199390000Y-97155000D01*
X199390000Y-97155000D02*
X199390000Y-97155000D01*
X226805000Y-60790000D02*
X229700000Y-60790000D01*
X229700000Y-60790000D02*
X229700000Y-60790000D01*
G04 #@! TO.N,SDA*
X194310000Y-98425000D02*
X199390000Y-98425000D01*
X199390000Y-98425000D02*
X199390000Y-98425000D01*
X229235000Y-64600000D02*
X226805000Y-64600000D01*
X229870000Y-65235000D02*
@ -742,5 +634,73 @@ X227330000Y-91440000D02*
X226695000Y-92075000D01*
X226805000Y-74760000D02*
X226805000Y-75675000D01*
D25*
G04 #@! TO.N,VDD*
X207645000Y-91440000D02*
X205740000Y-91440000D01*
X205740000Y-91440000D02*
X204470000Y-92710000D01*
X204470000Y-92710000D02*
X200660000Y-92710000D01*
X203200000Y-100330000D02*
X200660000Y-100330000D01*
X200660000Y-92710000D02*
X200660000Y-100330000D01*
X203200000Y-72390000D02*
X200660000Y-72390000D01*
X195580000Y-89535000D02*
X200660000Y-89535000D01*
X200660000Y-72390000D02*
X200660000Y-89535000D01*
X228600000Y-95885000D02*
X225425000Y-95885000D01*
X225425000Y-95885000D02*
X225425000Y-104775000D01*
X208915000Y-104775000D02*
X213360000Y-104775000D01*
X200660000Y-92710000D02*
X200660000Y-89535000D01*
X222250000Y-104775000D02*
X225425000Y-104775000D01*
X200660000Y-57785000D02*
X200660000Y-59690000D01*
X200660000Y-57785000D02*
X204470000Y-57785000D01*
X207055000Y-59520000D02*
X206885000Y-59690000D01*
X208805000Y-59520000D02*
X207055000Y-59520000D01*
X206375000Y-59690000D02*
X204470000Y-57785000D01*
X206885000Y-59690000D02*
X206375000Y-59690000D01*
X205740000Y-130175000D02*
X206375000Y-129540000D01*
X199390000Y-130175000D02*
X205740000Y-130175000D01*
X200660000Y-127305000D02*
X200660000Y-115570000D01*
X199390000Y-128575000D02*
X200660000Y-127305000D01*
X199390000Y-130175000D02*
X199390000Y-128575000D01*
X200660000Y-113030000D02*
X206375000Y-113030000D01*
X200660000Y-113030000D02*
X200660000Y-115570000D01*
X206375000Y-113030000D02*
X208915000Y-113030000D01*
X208915000Y-113030000D02*
X208915000Y-115570000D01*
X208915000Y-104775000D02*
X208915000Y-113030000D01*
X205105000Y-107315000D02*
X200660000Y-107315000D01*
X200660000Y-107315000D02*
X200660000Y-113030000D01*
X200660000Y-100330000D02*
X200660000Y-107315000D01*
X200660000Y-59690000D02*
X200660000Y-72390000D01*
G04 #@! TD*
M02*

View File

@ -1,12 +1,12 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.0.0-3-g5ebb6b6)*
G04 #@! TF.CreationDate,2018-08-01T17:04:44+02:00*
G04 #@! TF.CreationDate,2018-08-02T21:25:15+02:00*
G04 #@! TF.ProjectId,esp32-sensornode,65737033322D73656E736F726E6F6465,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Soldermask,Top*
G04 #@! TF.FilePolarity,Negative*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (5.0.0-3-g5ebb6b6)) date Wednesday, 01. August 2018 um 17:04:44*
G04 Created by KiCad (PCBNEW (5.0.0-3-g5ebb6b6)) date Thursday, 02 August 2018 at 21:25:15*
%MOMM*%
%LPD*%
G01*
@ -16,24 +16,25 @@ G04 APERTURE LIST*
%ADD12R,3.900000X3.900000*%
%ADD13C,3.400000*%
%ADD14C,1.900000*%
%ADD15C,2.000000*%
%ADD16O,2.000000X2.000000*%
%ADD17C,1.800000*%
%ADD18R,2.600000X2.600000*%
%ADD19O,2.600000X2.600000*%
%ADD20R,2.100000X2.100000*%
%ADD21O,2.100000X2.100000*%
%ADD15C,1.800000*%
%ADD16R,2.600000X2.600000*%
%ADD17O,2.600000X2.600000*%
%ADD18R,2.100000X2.100000*%
%ADD19O,2.100000X2.100000*%
%ADD20C,2.000000*%
%ADD21O,2.000000X2.000000*%
%ADD22O,2.900000X1.300000*%
%ADD23O,1.300000X2.900000*%
%ADD24R,6.400000X6.400000*%
%ADD25C,2.400000*%
%ADD26R,2.400000X2.400000*%
G04 APERTURE END LIST*
D10*
G04 #@! TO.C,REF\002A\002A*
X180340000Y-130175000D03*
G04 #@! TD*
G04 #@! TO.C,REF\002A\002A*
X229235000Y-53340000D03*
X229870000Y-56515000D03*
G04 #@! TD*
D11*
G04 #@! TO.C,BT1*
@ -47,73 +48,67 @@ D14*
X179580000Y-123600000D03*
G04 #@! TD*
D15*
G04 #@! TO.C,C1*
X203200000Y-59690000D03*
D16*
X203200000Y-52190000D03*
G04 #@! TD*
D17*
G04 #@! TO.C,C3*
X205105000Y-125730000D03*
X207605000Y-125730000D03*
X205105000Y-107315000D03*
X207605000Y-107315000D03*
G04 #@! TD*
D18*
D16*
G04 #@! TO.C,D1*
X199390000Y-130175000D03*
D19*
D17*
X189230000Y-130175000D03*
G04 #@! TD*
D20*
D18*
G04 #@! TO.C,J2*
X228600000Y-119380000D03*
D21*
D19*
X228600000Y-116840000D03*
X228600000Y-114300000D03*
X228600000Y-111760000D03*
X228600000Y-109220000D03*
X228600000Y-106680000D03*
G04 #@! TD*
D20*
D18*
G04 #@! TO.C,J3*
X207645000Y-91440000D03*
D21*
D19*
X207645000Y-93980000D03*
X207645000Y-96520000D03*
X207645000Y-99060000D03*
G04 #@! TD*
D20*
D18*
G04 #@! TO.C,J4*
X228600000Y-95885000D03*
D21*
D19*
X228600000Y-98425000D03*
X228600000Y-100965000D03*
G04 #@! TD*
D15*
D20*
G04 #@! TO.C,R1*
X203200000Y-72390000D03*
D16*
D21*
X203200000Y-62230000D03*
G04 #@! TD*
G04 #@! TO.C,R2*
X223520000Y-82550000D03*
D15*
D20*
X213360000Y-82550000D03*
G04 #@! TD*
D16*
D21*
G04 #@! TO.C,R3*
X223520000Y-92075000D03*
D15*
D20*
X213360000Y-92075000D03*
G04 #@! TD*
G04 #@! TO.C,R6*
X203200000Y-100330000D03*
D16*
D21*
X203200000Y-90170000D03*
G04 #@! TD*
D15*
D20*
G04 #@! TO.C,R7*
X203200000Y-86360000D03*
D16*
D21*
X203200000Y-76200000D03*
G04 #@! TD*
D22*
@ -168,16 +163,16 @@ X225425000Y-130810000D03*
X229925000Y-124310000D03*
X225425000Y-124310000D03*
G04 #@! TD*
D15*
D20*
G04 #@! TO.C,R8*
X223520000Y-97155000D03*
D16*
D21*
X213360000Y-97155000D03*
G04 #@! TD*
D20*
D18*
G04 #@! TO.C,J1*
X215265000Y-115570000D03*
D21*
D19*
X217805000Y-115570000D03*
X215265000Y-118110000D03*
X217805000Y-118110000D03*
@ -192,4 +187,20 @@ X217805000Y-128270000D03*
X215265000Y-130810000D03*
X217805000Y-130810000D03*
G04 #@! TD*
D20*
G04 #@! TO.C,C1*
X203200000Y-59690000D03*
X203200000Y-54690000D03*
G04 #@! TD*
D26*
G04 #@! TO.C,C5*
X206375000Y-113030000D03*
D25*
X206375000Y-118030000D03*
G04 #@! TD*
G04 #@! TO.C,C6*
X206375000Y-124540000D03*
D26*
X206375000Y-129540000D03*
G04 #@! TD*
M02*

View File

@ -1,5 +1,5 @@
M48
;DRILL file {KiCad (5.0.0-3-g5ebb6b6)} date Wednesday, 01. August 2018 um 17:04:55
;DRILL file {KiCad (5.0.0-3-g5ebb6b6)} date Thursday, 02 August 2018 at 21:25:24
;FORMAT={3:3/ absolute / metric / suppress leading zeros}
FMAT,2
METRIC,TZ
@ -19,13 +19,12 @@ M71
T1
X194310Y-97155
X194310Y-98425
X194945Y-64135
X195580Y-89535
X199390Y-64770
X199390Y-97155
X199390Y-98425
X200660Y-59690
X203200Y-64770
X206375Y-55880
X205105Y-56515
X208915Y-115570
X213360Y-104775
X213995Y-85725
@ -41,24 +40,26 @@ X229700Y-60790
X229870Y-89535
X231140Y-62865
T2
X205105Y-125730
X207605Y-125730
X205105Y-107315
X207605Y-107315
T3
X203200Y-62230
X203200Y-72390
X213360Y-92075
X223520Y-92075
X213360Y-97155
X223520Y-97155
X203200Y-76200
X203200Y-86360
X203200Y-90170
X203200Y-100330
X213360Y-92075
X223520Y-92075
X203200Y-52190
X203200Y-54690
X203200Y-59690
X213360Y-82550
X223520Y-82550
X203200Y-62230
X203200Y-72390
X203200Y-90170
X203200Y-100330
T4
X206375Y-113030
X206375Y-118030
X228600Y-95885
X228600Y-98425
X228600Y-100965
@ -68,6 +69,12 @@ X228600Y-111760
X228600Y-114300
X228600Y-116840
X228600Y-119380
X206375Y-124540
X206375Y-129540
X207645Y-91440
X207645Y-93980
X207645Y-96520
X207645Y-99060
X215265Y-115570
X215265Y-118110
X215265Y-120650
@ -82,10 +89,6 @@ X217805Y-123190
X217805Y-125730
X217805Y-128270
X217805Y-130810
X207645Y-91440
X207645Y-93980
X207645Y-96520
X207645Y-99060
T5
X189230Y-130175
X199390Y-130175
@ -99,7 +102,7 @@ X189280Y-125050
T7
X179580Y-123600
T8
X229235Y-53340
X229870Y-56515
X180340Y-130175
T9
X189280Y-62500

View File

@ -0,0 +1,22 @@
Reference, Quantity, Value, Footprint, Datasheet
BT1 ,1,"18650 Li-Ion","JBeyerstedt-Library:BatteryHolder_COMF_BHC-18650-1","~"
C1 ,1,"1n","Capacitor_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm","~"
C2 C4 C9 ,3,"0.1u","Capacitor_SMD:C_0805_2012Metric_Pad1.15x1.40mm_HandSolder","~"
C3 ,1,"1u","Capacitor_THT:C_Rect_L4.6mm_W3.8mm_P2.50mm_MKS02_FKP02","~"
C5 ,1,"100u","Capacitor_THT:CP_Radial_D10.0mm_P5.00mm","~"
C6 ,1,"470u","Capacitor_THT:CP_Radial_D10.0mm_P5.00mm","~"
C7 ,1,"4.7u","Capacitor_SMD:C_0805_2012Metric_Pad1.15x1.40mm_HandSolder","~"
C8 ,1,"0.01u","Capacitor_SMD:C_0805_2012Metric_Pad1.15x1.40mm_HandSolder","~"
D1 ,1,"1N4001","Diode_THT:D_DO-41_SOD81_P10.16mm_Horizontal","http://www.vishay.com/docs/88503/1n4001.pdf"
J1 ,1,"JTAG_ESP32","Connector_PinHeader_2.54mm:PinHeader_2x07_P2.54mm_Vertical","~"
J2 ,1,"FTDI:GRN-GBL","Connector_PinHeader_2.54mm:PinHeader_1x06_P2.54mm_Vertical","~"
J3 ,1,"SI7021:SDA-VIN","Connector_PinHeader_2.54mm:PinHeader_1x04_P2.54mm_Vertical","~"
J4 ,1,"BOOT-EXEC","Connector_PinHeader_2.54mm:PinHeader_1x03_P2.54mm_Vertical","~"
R1 R2 R3 ,3,"22K","Resistor_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal","~"
R4 R5 ,2,"22K","Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder","~"
R6 ,1,"2.7M","Resistor_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal","~"
R7 ,1,"1M","Resistor_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal","~"
R8 ,1,"47R","Resistor_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal","~"
SW1 ,1,"EN","Button_Switch_THT:SW_PUSH_6mm",""
U1 ,1,"ESP32-WROOM","JBeyerstedt-Library:ESP32-WROOM",""
U2 ,1,"Si7021-A20","Package_DFN_QFN:DFN-6-1EP_3x3mm_P1mm_EP1.5x2.4mm","https://www.silabs.com/documents/public/data-sheets/Si7020-A20.pdf"
1 Reference Quantity Value Footprint Datasheet
2 BT1 1 18650 Li-Ion JBeyerstedt-Library:BatteryHolder_COMF_BHC-18650-1 ~
3 C1 1 1n Capacitor_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm ~
4 C2 C4 C9 3 0.1u Capacitor_SMD:C_0805_2012Metric_Pad1.15x1.40mm_HandSolder ~
5 C3 1 1u Capacitor_THT:C_Rect_L4.6mm_W3.8mm_P2.50mm_MKS02_FKP02 ~
6 C5 1 100u Capacitor_THT:CP_Radial_D10.0mm_P5.00mm ~
7 C6 1 470u Capacitor_THT:CP_Radial_D10.0mm_P5.00mm ~
8 C7 1 4.7u Capacitor_SMD:C_0805_2012Metric_Pad1.15x1.40mm_HandSolder ~
9 C8 1 0.01u Capacitor_SMD:C_0805_2012Metric_Pad1.15x1.40mm_HandSolder ~
10 D1 1 1N4001 Diode_THT:D_DO-41_SOD81_P10.16mm_Horizontal http://www.vishay.com/docs/88503/1n4001.pdf
11 J1 1 JTAG_ESP32 Connector_PinHeader_2.54mm:PinHeader_2x07_P2.54mm_Vertical ~
12 J2 1 FTDI:GRN-GBL Connector_PinHeader_2.54mm:PinHeader_1x06_P2.54mm_Vertical ~
13 J3 1 SI7021:SDA-VIN Connector_PinHeader_2.54mm:PinHeader_1x04_P2.54mm_Vertical ~
14 J4 1 BOOT-EXEC Connector_PinHeader_2.54mm:PinHeader_1x03_P2.54mm_Vertical ~
15 R1 R2 R3 3 22K Resistor_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal ~
16 R4 R5 2 22K Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder ~
17 R6 1 2.7M Resistor_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal ~
18 R7 1 1M Resistor_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal ~
19 R8 1 47R Resistor_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal ~
20 SW1 1 EN Button_Switch_THT:SW_PUSH_6mm
21 U1 1 ESP32-WROOM JBeyerstedt-Library:ESP32-WROOM
22 U2 1 Si7021-A20 Package_DFN_QFN:DFN-6-1EP_3x3mm_P1mm_EP1.5x2.4mm https://www.silabs.com/documents/public/data-sheets/Si7020-A20.pdf

File diff suppressed because it is too large Load Diff

View File

@ -1,7 +1,7 @@
(export (version D)
(design
(source /Users/jannik/JBeyerstedt-Projekte/esp32/esp32-sensornode/board-design/esp32-sensornode.sch)
(date "Mittwoch, 01. August 2018 um 16:25:18")
(date "Thursday, 02 August 2018 at 21:19:19")
(tool "Eeschema (5.0.0-3-g5ebb6b6)")
(sheet (number 1) (name /) (tstamps /)
(title_block
@ -30,7 +30,7 @@
(sheetpath (names /) (tstamps /))
(tstamp 5B5EF5BA))
(comp (ref J2)
(value FTDI:GRN-GBL)
(value FTDI:GRN-BLK)
(footprint Connector_PinHeader_2.54mm:PinHeader_1x06_P2.54mm_Vertical)
(datasheet ~)
(libsource (lib Connector) (part Conn_01x06_Male) (description "Generic connector, single row, 01x06, script generated (kicad-library-utils/schlib/autogen/connector/)"))
@ -93,7 +93,7 @@
(tstamp 5B5FD2E5))
(comp (ref C1)
(value 1n)
(footprint Capacitor_THT:C_Axial_L3.8mm_D2.6mm_P7.50mm_Horizontal)
(footprint Capacitor_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
@ -159,7 +159,42 @@
(datasheet ~)
(libsource (lib JBeyerstedt-Library) (part JTAG_ESP32) (description "Shortened JTAG connector, double row, 02x07"))
(sheetpath (names /) (tstamps /))
(tstamp 5B6839CC)))
(tstamp 5B6839CC))
(comp (ref C5)
(value 100u)
(footprint Capacitor_THT:CP_Radial_D10.0mm_P5.00mm)
(datasheet ~)
(libsource (lib Device) (part CP) (description "Polarised capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5B6383FA))
(comp (ref C6)
(value 470u)
(footprint Capacitor_THT:CP_Radial_D10.0mm_P5.00mm)
(datasheet ~)
(libsource (lib Device) (part CP) (description "Polarised capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5B63868C))
(comp (ref C7)
(value 4.7u)
(footprint Capacitor_SMD:C_0805_2012Metric_Pad1.15x1.40mm_HandSolder)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5B68C40C))
(comp (ref C8)
(value 0.01u)
(footprint Capacitor_SMD:C_0805_2012Metric_Pad1.15x1.40mm_HandSolder)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5B6A7422))
(comp (ref C9)
(value 0.1u)
(footprint Capacitor_SMD:C_0805_2012Metric_Pad1.15x1.40mm_HandSolder)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5B6A7469)))
(libparts
(libpart (lib Connector) (part Conn_01x03_Male)
(description "Generic connector, single row, 01x03, script generated (kicad-library-utils/schlib/autogen/connector/)")
@ -221,6 +256,17 @@
(pins
(pin (num 1) (name ~) (type passive))
(pin (num 2) (name ~) (type passive))))
(libpart (lib Device) (part CP)
(description "Polarised capacitor")
(docs ~)
(footprints
(fp CP_*))
(fields
(field (name Reference) C)
(field (name Value) CP))
(pins
(pin (num 1) (name ~) (type passive))
(pin (num 2) (name ~) (type passive))))
(libpart (lib Device) (part R)
(description Resistor)
(docs ~)
@ -364,8 +410,8 @@
(uri "/Library/Application Support/kicad/library/Switch.lib")))
(nets
(net (code 1) (name "Net-(BT1-Pad1)")
(node (ref BT1) (pin 1))
(node (ref D1) (pin 2)))
(node (ref D1) (pin 2))
(node (ref BT1) (pin 1)))
(net (code 2) (name "Net-(U2-Pad3)")
(node (ref U2) (pin 3)))
(net (code 3) (name "Net-(U2-Pad4)")
@ -378,138 +424,148 @@
(node (ref J2) (pin 4)))
(net (code 7) (name "Net-(J2-Pad5)")
(node (ref J2) (pin 5)))
(net (code 8) (name GND)
(node (ref U2) (pin 2))
(node (ref J2) (pin 6))
(node (ref BT1) (pin 2))
(node (ref U1) (pin 1))
(node (ref U1) (pin 15))
(node (ref R7) (pin 2))
(node (ref R8) (pin 2))
(node (ref C4) (pin 2))
(node (ref U1) (pin 38))
(node (ref J3) (pin 2))
(node (ref R2) (pin 1))
(node (ref J4) (pin 3))
(node (ref J1) (pin 8))
(node (ref J1) (pin 6))
(node (ref J1) (pin 4))
(node (ref J1) (pin 14))
(node (ref J1) (pin 12))
(node (ref J1) (pin 11))
(node (ref J1) (pin 10))
(node (ref C1) (pin 2))
(node (ref C3) (pin 2))
(node (ref C2) (pin 2)))
(net (code 9) (name "Net-(U1-Pad10)")
(net (code 8) (name "Net-(U1-Pad10)")
(node (ref U1) (pin 10)))
(net (code 10) (name "Net-(U1-Pad11)")
(net (code 9) (name "Net-(U1-Pad11)")
(node (ref U1) (pin 11)))
(net (code 11) (name "Net-(U1-Pad12)")
(net (code 10) (name "Net-(U1-Pad12)")
(node (ref U1) (pin 12)))
(net (code 12) (name "Net-(U1-Pad17)")
(net (code 11) (name "Net-(U1-Pad17)")
(node (ref U1) (pin 17)))
(net (code 13) (name "Net-(U1-Pad18)")
(net (code 12) (name "Net-(U1-Pad18)")
(node (ref U1) (pin 18)))
(net (code 14) (name "Net-(U1-Pad19)")
(net (code 13) (name "Net-(U1-Pad19)")
(node (ref U1) (pin 19)))
(net (code 15) (name "Net-(U1-Pad20)")
(net (code 14) (name "Net-(U1-Pad20)")
(node (ref U1) (pin 20)))
(net (code 16) (name "Net-(U1-Pad21)")
(net (code 15) (name "Net-(U1-Pad21)")
(node (ref U1) (pin 21)))
(net (code 17) (name "Net-(U1-Pad22)")
(net (code 16) (name "Net-(U1-Pad22)")
(node (ref U1) (pin 22)))
(net (code 18) (name "Net-(U1-Pad26)")
(net (code 17) (name "Net-(U1-Pad26)")
(node (ref U1) (pin 26)))
(net (code 19) (name "Net-(U1-Pad27)")
(net (code 18) (name "Net-(U1-Pad27)")
(node (ref U1) (pin 27)))
(net (code 20) (name "Net-(U1-Pad28)")
(net (code 19) (name "Net-(U1-Pad28)")
(node (ref U1) (pin 28)))
(net (code 21) (name "Net-(U1-Pad29)")
(net (code 20) (name "Net-(U1-Pad29)")
(node (ref U1) (pin 29)))
(net (code 22) (name "Net-(U1-Pad30)")
(net (code 21) (name "Net-(U1-Pad30)")
(node (ref U1) (pin 30)))
(net (code 23) (name "Net-(U1-Pad31)")
(net (code 22) (name "Net-(U1-Pad31)")
(node (ref U1) (pin 31)))
(net (code 24) (name "Net-(U1-Pad32)")
(net (code 23) (name "Net-(U1-Pad32)")
(node (ref U1) (pin 32)))
(net (code 25) (name "Net-(U1-Pad37)")
(net (code 24) (name "Net-(U1-Pad37)")
(node (ref U1) (pin 37)))
(net (code 26) (name "Net-(U1-Pad39)")
(net (code 25) (name "Net-(U1-Pad39)")
(node (ref U1) (pin 39)))
(net (code 27) (name VCC_SENS)
(net (code 26) (name VCC_SENS)
(node (ref R6) (pin 2))
(node (ref U1) (pin 4))
(node (ref R7) (pin 1))
(node (ref R6) (pin 2))
(node (ref C4) (pin 1)))
(net (code 28) (name "Net-(U1-Pad5)")
(net (code 27) (name "Net-(U1-Pad5)")
(node (ref U1) (pin 5)))
(net (code 29) (name "Net-(U1-Pad6)")
(net (code 28) (name "Net-(U1-Pad6)")
(node (ref U1) (pin 6)))
(net (code 30) (name "Net-(U1-Pad7)")
(net (code 29) (name "Net-(U1-Pad7)")
(node (ref U1) (pin 7)))
(net (code 31) (name "Net-(U1-Pad8)")
(net (code 30) (name "Net-(U1-Pad8)")
(node (ref U1) (pin 8)))
(net (code 32) (name "Net-(U1-Pad9)")
(net (code 31) (name "Net-(U1-Pad9)")
(node (ref U1) (pin 9)))
(net (code 33) (name "Net-(R2-Pad2)")
(net (code 32) (name "Net-(R2-Pad2)")
(node (ref U1) (pin 24))
(node (ref R2) (pin 2)))
(net (code 34) (name "Net-(J4-Pad2)")
(net (code 33) (name "Net-(J4-Pad2)")
(node (ref J4) (pin 2))
(node (ref R3) (pin 1)))
(net (code 35) (name VCC)
(node (ref U2) (pin 5))
(node (ref U1) (pin 2))
(node (ref C2) (pin 1))
(node (ref C3) (pin 1))
(node (ref J1) (pin 1))
(node (ref D1) (pin 1))
(node (ref R5) (pin 1))
(node (ref R4) (pin 1))
(node (ref J3) (pin 1))
(node (ref R1) (pin 1))
(node (ref R6) (pin 1))
(node (ref J4) (pin 1)))
(net (code 36) (name /TX)
(net (code 34) (name /TX)
(node (ref J2) (pin 2))
(node (ref U1) (pin 35)))
(net (code 37) (name /RX)
(net (code 35) (name /RX)
(node (ref J2) (pin 3))
(node (ref U1) (pin 34)))
(net (code 38) (name "Net-(R8-Pad1)")
(node (ref SW1) (pin 1))
(node (ref R8) (pin 1)))
(net (code 39) (name "Net-(J1-Pad13)")
(node (ref J1) (pin 13))
(node (ref U1) (pin 23)))
(net (code 40) (name "Net-(J1-Pad2)")
(node (ref J1) (pin 2)))
(net (code 41) (name "Net-(C1-Pad1)")
(node (ref C1) (pin 1))
(net (code 36) (name "Net-(C1-Pad1)")
(node (ref J1) (pin 3))
(node (ref U1) (pin 3))
(node (ref SW1) (pin 2))
(node (ref R1) (pin 2)))
(net (code 42) (name "Net-(J1-Pad9)")
(node (ref J1) (pin 9))
(node (ref U1) (pin 16)))
(net (code 43) (name SDA)
(node (ref U2) (pin 1))
(node (ref R5) (pin 2))
(node (ref C1) (pin 1))
(node (ref R1) (pin 2))
(node (ref SW1) (pin 2)))
(net (code 37) (name "Net-(R8-Pad1)")
(node (ref SW1) (pin 1))
(node (ref R8) (pin 1)))
(net (code 38) (name "Net-(J1-Pad13)")
(node (ref J1) (pin 13))
(node (ref U1) (pin 23)))
(net (code 39) (name "Net-(J1-Pad2)")
(node (ref J1) (pin 2)))
(net (code 40) (name "Net-(J1-Pad9)")
(node (ref U1) (pin 16))
(node (ref J1) (pin 9)))
(net (code 41) (name SDA)
(node (ref J3) (pin 4))
(node (ref U1) (pin 33)))
(net (code 44) (name SCL)
(node (ref U2) (pin 1))
(node (ref U1) (pin 33))
(node (ref R5) (pin 2)))
(net (code 42) (name SCL)
(node (ref J3) (pin 3))
(node (ref U1) (pin 36))
(node (ref U2) (pin 6))
(node (ref R4) (pin 2))
(node (ref J3) (pin 3)))
(net (code 45) (name /BOOT)
(node (ref R4) (pin 2)))
(net (code 43) (name /BOOT)
(node (ref R3) (pin 2))
(node (ref U1) (pin 25)))
(net (code 46) (name "Net-(J1-Pad5)")
(node (ref J1) (pin 5))
(node (ref U1) (pin 14)))
(net (code 47) (name "Net-(J1-Pad7)")
(node (ref J1) (pin 7))
(node (ref U1) (pin 13)))))
(net (code 44) (name "Net-(J1-Pad5)")
(node (ref U1) (pin 14))
(node (ref J1) (pin 5)))
(net (code 45) (name "Net-(J1-Pad7)")
(node (ref U1) (pin 13))
(node (ref J1) (pin 7)))
(net (code 46) (name VDD)
(node (ref D1) (pin 1))
(node (ref U2) (pin 5))
(node (ref J1) (pin 1))
(node (ref U1) (pin 2))
(node (ref J3) (pin 1))
(node (ref R1) (pin 1))
(node (ref R4) (pin 1))
(node (ref R5) (pin 1))
(node (ref R6) (pin 1))
(node (ref J4) (pin 1))
(node (ref C9) (pin 1))
(node (ref C8) (pin 1))
(node (ref C7) (pin 1))
(node (ref C6) (pin 1))
(node (ref C5) (pin 1))
(node (ref C3) (pin 1))
(node (ref C2) (pin 1)))
(net (code 47) (name GND)
(node (ref R7) (pin 2))
(node (ref C2) (pin 2))
(node (ref C3) (pin 2))
(node (ref C1) (pin 2))
(node (ref C5) (pin 2))
(node (ref C6) (pin 2))
(node (ref C7) (pin 2))
(node (ref C8) (pin 2))
(node (ref C9) (pin 2))
(node (ref J1) (pin 8))
(node (ref J1) (pin 6))
(node (ref J4) (pin 3))
(node (ref J1) (pin 4))
(node (ref R2) (pin 1))
(node (ref J1) (pin 12))
(node (ref J3) (pin 2))
(node (ref J1) (pin 11))
(node (ref U1) (pin 38))
(node (ref J1) (pin 10))
(node (ref U1) (pin 15))
(node (ref U1) (pin 1))
(node (ref BT1) (pin 2))
(node (ref J2) (pin 6))
(node (ref U2) (pin 2))
(node (ref C4) (pin 2))
(node (ref R8) (pin 2))
(node (ref J1) (pin 14)))))

View File

@ -1,4 +1,4 @@
update=22/05/2015 07:44:53
update=Thursday, 02 August 2018 at 21:02:01
version=1
last_client=kicad
[general]
@ -31,3 +31,13 @@ NetIExt=net
version=1
LibDir=
[eeschema/libraries]
[schematic_editor]
version=1
PageLayoutDescrFile=
PlotDirectoryName=
SubpartIdSeparator=0
SubpartFirstId=65
NetFmtName=
SpiceAjustPassiveValues=0
LabSize=50
ERC_TestSimilarLabels=1

View File

@ -17,23 +17,23 @@ $EndDescr
$Comp
L Diode:1N4001 D1
U 1 1 5B5EF193
P 9850 5300
F 0 "D1" H 9850 5084 50 0000 C CNN
F 1 "1N4001" H 9850 5175 50 0000 C CNN
F 2 "Diode_THT:D_DO-41_SOD81_P10.16mm_Horizontal" H 9850 5125 50 0001 C CNN
F 3 "http://www.vishay.com/docs/88503/1n4001.pdf" H 9850 5300 50 0001 C CNN
1 9850 5300
P 1250 800
F 0 "D1" H 1250 584 50 0000 C CNN
F 1 "1N4001" H 1250 675 50 0000 C CNN
F 2 "Diode_THT:D_DO-41_SOD81_P10.16mm_Horizontal" H 1250 625 50 0001 C CNN
F 3 "http://www.vishay.com/docs/88503/1n4001.pdf" H 1250 800 50 0001 C CNN
1 1250 800
-1 0 0 1
$EndComp
$Comp
L Sensor_Humidity:Si7020-A20 U2
U 1 1 5B5EF5BA
P 10250 1950
F 0 "U2" H 10691 1996 50 0000 L CNN
F 1 "Si7021-A20" H 10691 1905 50 0000 L CNN
F 2 "Package_DFN_QFN:DFN-6-1EP_3x3mm_P1mm_EP1.5x2.4mm" H 10250 1550 50 0001 C CNN
F 3 "https://www.silabs.com/documents/public/data-sheets/Si7020-A20.pdf" H 10050 2250 50 0001 C CNN
1 10250 1950
P 10150 1950
F 0 "U2" H 10350 1650 50 0000 L CNN
F 1 "Si7021-A20" H 10350 1550 50 0000 L CNN
F 2 "Package_DFN_QFN:DFN-6-1EP_3x3mm_P1mm_EP1.5x2.4mm" H 10150 1550 50 0001 C CNN
F 3 "https://www.silabs.com/documents/public/data-sheets/Si7020-A20.pdf" H 9950 2250 50 0001 C CNN
1 10150 1950
1 0 0 -1
$EndComp
$Comp
@ -41,7 +41,7 @@ L Connector:Conn_01x06_Male J2
U 1 1 5B5EFFB5
P 7850 2850
F 0 "J2" H 7956 3228 50 0000 C CNN
F 1 "FTDI:GRN-GBL" H 7956 3137 50 0000 C CNN
F 1 "FTDI:GRN-BLK" H 7956 3137 50 0000 C CNN
F 2 "Connector_PinHeader_2.54mm:PinHeader_1x06_P2.54mm_Vertical" H 7850 2850 50 0001 C CNN
F 3 "~" H 7850 2850 50 0001 C CNN
1 7850 2850
@ -50,12 +50,12 @@ $EndComp
$Comp
L Device:Battery_Cell BT1
U 1 1 5B5F4F5C
P 9400 5600
F 0 "BT1" H 9518 5696 50 0000 L CNN
F 1 "18650 Li-Ion" H 9518 5605 50 0000 L CNN
F 2 "JBeyerstedt-Library:BatteryHolder_COMF_BHC-18650-1" V 9400 5660 50 0001 C CNN
F 3 "~" V 9400 5660 50 0001 C CNN
1 9400 5600
P 800 1100
F 0 "BT1" H 918 1196 50 0000 L CNN
F 1 "18650 Li-Ion" H 918 1105 50 0000 L CNN
F 2 "JBeyerstedt-Library:BatteryHolder_COMF_BHC-18650-1" V 800 1160 50 0001 C CNN
F 3 "~" V 800 1160 50 0001 C CNN
1 800 1100
1 0 0 -1
$EndComp
$Comp
@ -83,12 +83,12 @@ $EndComp
$Comp
L Device:R R1
U 1 1 5B5F5773
P 3750 2450
F 0 "R1" H 3820 2496 50 0000 L CNN
F 1 "22K" H 3820 2405 50 0000 L CNN
F 2 "Resistor_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal" V 3680 2450 50 0001 C CNN
F 3 "~" H 3750 2450 50 0001 C CNN
1 3750 2450
P 3700 3050
F 0 "R1" H 3770 3096 50 0000 L CNN
F 1 "22K" H 3770 3005 50 0000 L CNN
F 2 "Resistor_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal" V 3630 3050 50 0001 C CNN
F 3 "~" H 3700 3050 50 0001 C CNN
1 3700 3050
1 0 0 -1
$EndComp
$Comp
@ -124,17 +124,17 @@ F 3 "~" H 7850 3750 50 0001 C CNN
1 7850 3750
-1 0 0 -1
$EndComp
Text GLabel 10500 5300 2 50 UnSpc ~ 0
VCC
Text GLabel 2900 800 2 50 Output ~ 0
VDD
$Comp
L power:GND #PWR011
U 1 1 5B5F5D9E
P 10300 5900
F 0 "#PWR011" H 10300 5650 50 0001 C CNN
F 1 "GND" H 10305 5727 50 0000 C CNN
F 2 "" H 10300 5900 50 0001 C CNN
F 3 "" H 10300 5900 50 0001 C CNN
1 10300 5900
P 1700 1400
F 0 "#PWR011" H 1700 1150 50 0001 C CNN
F 1 "GND" H 1705 1227 50 0000 C CNN
F 2 "" H 1700 1400 50 0001 C CNN
F 3 "" H 1700 1400 50 0001 C CNN
1 1700 1400
1 0 0 -1
$EndComp
$Comp
@ -162,18 +162,14 @@ $EndComp
$Comp
L power:GND #PWR02
U 1 1 5B5F6760
P 4300 2150
F 0 "#PWR02" H 4300 1900 50 0001 C CNN
F 1 "GND" H 4305 1977 50 0000 C CNN
F 2 "" H 4300 2150 50 0001 C CNN
F 3 "" H 4300 2150 50 0001 C CNN
1 4300 2150
P 3250 2250
F 0 "#PWR02" H 3250 2000 50 0001 C CNN
F 1 "GND" H 3255 2077 50 0000 C CNN
F 2 "" H 3250 2250 50 0001 C CNN
F 3 "" H 3250 2250 50 0001 C CNN
1 3250 2250
1 0 0 -1
$EndComp
Wire Wire Line
4600 2450 4600 2100
Wire Wire Line
4600 2100 4300 2100
Wire Wire Line
6450 2450 6550 2450
Wire Wire Line
@ -182,8 +178,8 @@ Wire Wire Line
6550 2100 6750 2100
Wire Wire Line
5100 4100 5100 4650
Text GLabel 3650 2100 0 50 UnSpc ~ 0
VCC
Text GLabel 3600 2800 0 50 UnSpc ~ 0
VDD
$Comp
L power:GND #PWR06
U 1 1 5B5F7496
@ -220,13 +216,13 @@ F 3 "" H 7450 2000 50 0001 C CNN
1 0 0 -1
$EndComp
Text GLabel 7450 1650 0 50 UnSpc ~ 0
VCC
VDD
Wire Wire Line
7650 3150 7450 3150
Wire Wire Line
7650 3850 7450 3850
Text GLabel 7450 3650 0 50 UnSpc ~ 0
VCC
VDD
Wire Wire Line
7650 3650 7450 3650
$Comp
@ -266,68 +262,61 @@ F 3 "" H 8050 6200 50 0001 C CNN
1 8050 6200
1 0 0 -1
$EndComp
Text GLabel 7300 5300 0 50 UnSpc ~ 0
VCC
Text GLabel 7300 5300 0 50 Input ~ 0
VDD
$Comp
L Device:C C1
U 1 1 5B6101CB
P 3750 2850
F 0 "C1" H 3865 2896 50 0000 L CNN
F 1 "1n" H 3865 2805 50 0000 L CNN
F 2 "Capacitor_THT:C_Axial_L3.8mm_D2.6mm_P7.50mm_Horizontal" H 3788 2700 50 0001 C CNN
F 3 "~" H 3750 2850 50 0001 C CNN
1 3750 2850
P 3700 3450
F 0 "C1" H 3815 3496 50 0000 L CNN
F 1 "1n" H 3815 3405 50 0000 L CNN
F 2 "Capacitor_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm" H 3738 3300 50 0001 C CNN
F 3 "~" H 3700 3450 50 0001 C CNN
1 3700 3450
1 0 0 -1
$EndComp
$Comp
L Device:C C3
U 1 1 5B610407
P 10300 5550
F 0 "C3" H 10415 5596 50 0000 L CNN
F 1 "1u" H 10415 5505 50 0000 L CNN
F 2 "Capacitor_THT:C_Rect_L4.6mm_W3.8mm_P2.50mm_MKS02_FKP02" H 10338 5400 50 0001 C CNN
F 3 "~" H 10300 5550 50 0001 C CNN
1 10300 5550
P 1700 1050
F 0 "C3" H 1815 1096 50 0000 L CNN
F 1 "1u" H 1815 1005 50 0000 L CNN
F 2 "Capacitor_THT:C_Rect_L4.6mm_W3.8mm_P2.50mm_MKS02_FKP02" H 1738 900 50 0001 C CNN
F 3 "~" H 1700 1050 50 0001 C CNN
1 1700 1050
1 0 0 -1
$EndComp
$Comp
L Device:C C2
U 1 1 5B61048F
P 9000 1650
F 0 "C2" H 9115 1696 50 0000 L CNN
F 1 "0.1u" H 9115 1605 50 0000 L CNN
F 2 "Capacitor_SMD:C_0805_2012Metric_Pad1.15x1.40mm_HandSolder" H 9038 1500 50 0001 C CNN
F 3 "~" H 9000 1650 50 0001 C CNN
1 9000 1650
P 10900 1950
F 0 "C2" H 11015 1996 50 0000 L CNN
F 1 "0.1u" H 11015 1905 50 0000 L CNN
F 2 "Capacitor_SMD:C_0805_2012Metric_Pad1.15x1.40mm_HandSolder" H 10938 1800 50 0001 C CNN
F 3 "~" H 10900 1950 50 0001 C CNN
1 10900 1950
1 0 0 -1
$EndComp
Wire Wire Line
4600 2550 4150 2550
Wire Wire Line
4150 2550 4150 2100
Wire Wire Line
4150 2100 3750 2100
$Comp
L power:GND #PWR01
U 1 1 5B61A48C
P 3750 3100
F 0 "#PWR01" H 3750 2850 50 0001 C CNN
F 1 "GND" H 3755 2927 50 0000 C CNN
F 2 "" H 3750 3100 50 0001 C CNN
F 3 "" H 3750 3100 50 0001 C CNN
1 3750 3100
P 3700 3700
F 0 "#PWR01" H 3700 3450 50 0001 C CNN
F 1 "GND" H 3705 3527 50 0000 C CNN
F 2 "" H 3700 3700 50 0001 C CNN
F 3 "" H 3700 3700 50 0001 C CNN
1 3700 3700
1 0 0 -1
$EndComp
Wire Wire Line
3750 2650 3750 2700
3700 3250 3700 3300
Wire Wire Line
3750 2650 3750 2600
Connection ~ 3750 2650
3700 3250 3700 3200
Connection ~ 3700 3250
Wire Wire Line
3750 2300 3750 2100
Connection ~ 3750 2100
3700 2900 3700 2800
Wire Wire Line
3750 2100 3650 2100
3700 2800 3600 2800
$Comp
L Device:R R7
U 1 1 5B628838
@ -343,19 +332,19 @@ Text GLabel 4600 2750 0 50 Input ~ 0
VCC_SENS
Text GLabel 9700 3600 0 50 Output ~ 0
VCC_SENS
Text GLabel 8900 1400 0 50 Input ~ 0
VCC
Text GLabel 9050 1400 0 50 Input ~ 0
VDD
Text GLabel 9700 3150 0 50 UnSpc ~ 0
VCC
VDD
$Comp
L power:GND #PWR010
U 1 1 5B62C522
P 8900 2400
F 0 "#PWR010" H 8900 2150 50 0001 C CNN
F 1 "GND" H 8905 2227 50 0000 C CNN
F 2 "" H 8900 2400 50 0001 C CNN
F 3 "" H 8900 2400 50 0001 C CNN
1 8900 2400
P 10050 2450
F 0 "#PWR010" H 10050 2200 50 0001 C CNN
F 1 "GND" H 10055 2277 50 0000 C CNN
F 2 "" H 10050 2450 50 0001 C CNN
F 3 "" H 10050 2450 50 0001 C CNN
1 10050 2450
1 0 0 -1
$EndComp
$Comp
@ -385,61 +374,41 @@ Wire Wire Line
$Comp
L Device:R R5
U 1 1 5B640572
P 9600 1650
F 0 "R5" H 9670 1696 50 0000 L CNN
F 1 "22K" H 9670 1605 50 0000 L CNN
F 2 "Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder" V 9530 1650 50 0001 C CNN
F 3 "~" H 9600 1650 50 0001 C CNN
1 9600 1650
P 9500 1650
F 0 "R5" H 9570 1696 50 0000 L CNN
F 1 "22K" H 9570 1605 50 0000 L CNN
F 2 "Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder" V 9430 1650 50 0001 C CNN
F 3 "~" H 9500 1650 50 0001 C CNN
1 9500 1650
1 0 0 -1
$EndComp
$Comp
L Device:R R4
U 1 1 5B6405FC
P 9300 1650
F 0 "R4" H 9370 1696 50 0000 L CNN
F 1 "22K" H 9370 1605 50 0000 L CNN
F 2 "Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder" V 9230 1650 50 0001 C CNN
F 3 "~" H 9300 1650 50 0001 C CNN
1 9300 1650
P 9200 1650
F 0 "R4" H 9270 1696 50 0000 L CNN
F 1 "22K" H 9270 1605 50 0000 L CNN
F 2 "Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder" V 9130 1650 50 0001 C CNN
F 3 "~" H 9200 1650 50 0001 C CNN
1 9200 1650
1 0 0 -1
$EndComp
Wire Wire Line
10150 2250 10150 2350
9500 1850 9500 1800
Wire Wire Line
9600 1850 9600 1800
9200 1500 9200 1400
Connection ~ 9200 1400
Wire Wire Line
8900 2350 8900 2400
9200 1400 9500 1400
Wire Wire Line
9000 1800 9000 2350
Connection ~ 9000 2350
9500 1500 9500 1400
Connection ~ 9500 1400
Wire Wire Line
9000 2350 8900 2350
9500 1400 10150 1400
Wire Wire Line
8900 1400 9000 1400
9650 2050 9200 2050
Wire Wire Line
9000 1400 9000 1500
Wire Wire Line
9000 1400 9300 1400
Wire Wire Line
10250 1400 10250 1650
Connection ~ 9000 1400
Wire Wire Line
9300 1500 9300 1400
Connection ~ 9300 1400
Wire Wire Line
9300 1400 9600 1400
Wire Wire Line
9600 1500 9600 1400
Connection ~ 9600 1400
Wire Wire Line
9600 1400 10250 1400
Wire Wire Line
9750 2050 9300 2050
Wire Wire Line
9300 2050 9300 1800
Wire Wire Line
9000 2350 10150 2350
9200 2050 9200 1800
Text Label 7600 2750 0 50 ~ 0
TX
Text Label 7500 2850 0 50 ~ 0
@ -447,31 +416,31 @@ RX
$Comp
L Switch:SW_Push SW1
U 1 1 5B61DE7C
P 3350 2650
F 0 "SW1" H 3350 2935 50 0000 C CNN
F 1 "EN" H 3350 2844 50 0000 C CNN
F 2 "Button_Switch_THT:SW_PUSH_6mm" H 3350 2850 50 0001 C CNN
F 3 "" H 3350 2850 50 0001 C CNN
1 3350 2650
P 3300 3250
F 0 "SW1" H 3300 3535 50 0000 C CNN
F 1 "EN" H 3300 3444 50 0000 C CNN
F 2 "Button_Switch_THT:SW_PUSH_6mm" H 3300 3450 50 0001 C CNN
F 3 "" H 3300 3450 50 0001 C CNN
1 3300 3250
1 0 0 -1
$EndComp
Wire Wire Line
3150 2650 3000 2650
3100 3250 2950 3250
$Comp
L Device:R R8
U 1 1 5B64D8D2
P 3000 2850
F 0 "R8" H 3070 2896 50 0000 L CNN
F 1 "47R" H 3070 2805 50 0000 L CNN
F 2 "Resistor_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal" V 2930 2850 50 0001 C CNN
F 3 "~" H 3000 2850 50 0001 C CNN
1 3000 2850
P 2950 3450
F 0 "R8" H 3020 3496 50 0000 L CNN
F 1 "47R" H 3020 3405 50 0000 L CNN
F 2 "Resistor_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal" V 2880 3450 50 0001 C CNN
F 3 "~" H 2950 3450 50 0001 C CNN
1 2950 3450
1 0 0 -1
$EndComp
Wire Wire Line
3000 2650 3000 2700
2950 3250 2950 3300
Wire Wire Line
3000 3000 3000 3050
2950 3600 2950 3650
$Comp
L Device:C C4
U 1 1 5B668915
@ -554,43 +523,38 @@ Wire Wire Line
Wire Wire Line
7350 5300 7350 5450
Wire Wire Line
9400 5700 9400 5800
800 1200 800 1300
Wire Wire Line
9400 5800 10300 5800
800 1300 1700 1300
Wire Wire Line
10300 5700 10300 5800
Connection ~ 10300 5800
1700 1200 1700 1300
Connection ~ 1700 1300
Wire Wire Line
10300 5800 10300 5900
1700 1300 1700 1400
Wire Wire Line
3750 3000 3750 3050
3700 3600 3700 3650
Wire Wire Line
3550 2650 3750 2650
3500 3250 3700 3250
Wire Wire Line
3000 3050 3750 3050
Connection ~ 3750 3050
2950 3650 3700 3650
Connection ~ 3700 3650
Wire Wire Line
3750 3050 3750 3100
3700 3650 3700 3700
Wire Wire Line
10300 5300 10500 5300
1700 800 1700 900
Wire Wire Line
10300 5300 10300 5400
1100 800 800 800
Wire Wire Line
9700 5300 9400 5300
800 800 800 900
Wire Wire Line
9400 5300 9400 5400
Wire Wire Line
10000 5300 10300 5300
Connection ~ 10300 5300
Text GLabel 8800 1850 0 50 Input ~ 0
1400 800 1700 800
Text GLabel 8900 1850 0 50 Input ~ 0
SDA
Text GLabel 8800 2050 0 50 Input ~ 0
Text GLabel 8900 2050 0 50 Input ~ 0
SCL
Connection ~ 9500 1850
Wire Wire Line
8800 1850 9600 1850
Connection ~ 9600 1850
Wire Wire Line
9600 1850 9750 1850
9500 1850 9650 1850
Text GLabel 6450 2950 2 50 Input ~ 0
SDA
Text GLabel 6450 2650 2 50 Input ~ 0
@ -600,8 +564,8 @@ SCL
Text GLabel 7350 1950 0 50 Input ~ 0
SDA
Wire Wire Line
9300 2050 8800 2050
Connection ~ 9300 2050
9200 2050 8900 2050
Connection ~ 9200 2050
Wire Wire Line
7350 1850 7650 1850
Wire Wire Line
@ -620,8 +584,6 @@ Wire Wire Line
6450 2750 7650 2750
Text Label 6550 3750 0 50 ~ 0
BOOT
Wire Wire Line
3750 2650 4100 2650
Wire Wire Line
5200 4100 5200 5850
Wire Wire Line
@ -640,13 +602,182 @@ Wire Wire Line
4250 3650 4600 3650
Wire Wire Line
4400 3750 4600 3750
Wire Wire Line
4100 2650 4100 5550
Connection ~ 4100 2650
Wire Wire Line
4100 2650 4600 2650
Wire Wire Line
6750 2100 6750 2150
$Comp
L Device:CP C5
U 1 1 5B6383FA
P 2150 1050
F 0 "C5" H 2268 1096 50 0000 L CNN
F 1 "100u" H 2268 1005 50 0000 L CNN
F 2 "Capacitor_THT:CP_Radial_D10.0mm_P5.00mm" H 2188 900 50 0001 C CNN
F 3 "~" H 2150 1050 50 0001 C CNN
1 2150 1050
1 0 0 -1
$EndComp
$Comp
L Device:CP C6
U 1 1 5B63868C
P 2600 1050
F 0 "C6" H 2718 1096 50 0000 L CNN
F 1 "470u" H 2718 1005 50 0000 L CNN
F 2 "Capacitor_THT:CP_Radial_D10.0mm_P5.00mm" H 2638 900 50 0001 C CNN
F 3 "~" H 2600 1050 50 0001 C CNN
1 2600 1050
1 0 0 -1
$EndComp
Wire Wire Line
4300 2100 4300 2150
2600 800 2600 900
Connection ~ 2600 800
Wire Wire Line
2150 800 2150 900
Connection ~ 2150 800
Wire Wire Line
2150 800 2600 800
Wire Wire Line
2150 1300 2150 1200
Wire Wire Line
2150 1300 2600 1300
Wire Wire Line
2600 1300 2600 1200
Connection ~ 2150 1300
Wire Wire Line
1700 1300 2150 1300
Wire Wire Line
1700 800 2150 800
Connection ~ 1700 800
Text GLabel 3150 1800 0 50 UnSpc ~ 0
VDD
Wire Wire Line
3700 3250 4100 3250
$Comp
L Device:C C7
U 1 1 5B68C40C
P 3250 2000
F 0 "C7" H 3365 2046 50 0000 L CNN
F 1 "4.7u" H 3365 1955 50 0000 L CNN
F 2 "Capacitor_SMD:C_0805_2012Metric_Pad1.15x1.40mm_HandSolder" H 3288 1850 50 0001 C CNN
F 3 "~" H 3250 2000 50 0001 C CNN
1 3250 2000
1 0 0 -1
$EndComp
$Comp
L Device:C C8
U 1 1 5B6A7422
P 3700 2000
F 0 "C8" H 3815 2046 50 0000 L CNN
F 1 "0.01u" H 3815 1955 50 0000 L CNN
F 2 "Capacitor_SMD:C_0805_2012Metric_Pad1.15x1.40mm_HandSolder" H 3738 1850 50 0001 C CNN
F 3 "~" H 3700 2000 50 0001 C CNN
1 3700 2000
1 0 0 -1
$EndComp
$Comp
L Device:C C9
U 1 1 5B6A7469
P 4150 2000
F 0 "C9" H 4265 2046 50 0000 L CNN
F 1 "0.1u" H 4265 1955 50 0000 L CNN
F 2 "Capacitor_SMD:C_0805_2012Metric_Pad1.15x1.40mm_HandSolder" H 4188 1850 50 0001 C CNN
F 3 "~" H 4150 2000 50 0001 C CNN
1 4150 2000
1 0 0 -1
$EndComp
Wire Wire Line
3250 2150 3250 2200
Wire Wire Line
3250 2200 3700 2200
Wire Wire Line
3700 2200 3700 2150
Connection ~ 3250 2200
Wire Wire Line
3250 2200 3250 2250
Wire Wire Line
4150 2200 4150 2150
Wire Wire Line
3700 2200 4150 2200
Connection ~ 3700 2200
Wire Wire Line
4600 2550 4500 2550
Wire Wire Line
4600 2450 4400 2450
Wire Wire Line
4400 2200 4150 2200
Connection ~ 4150 2200
Wire Wire Line
4500 1800 4150 1800
Wire Wire Line
4150 1800 4150 1850
Wire Wire Line
4150 1800 3700 1800
Wire Wire Line
3700 1800 3700 1850
Connection ~ 4150 1800
Wire Wire Line
3250 1800 3250 1850
Wire Wire Line
3250 1800 3150 1800
Connection ~ 3250 1800
Wire Wire Line
3250 1800 3700 1800
Connection ~ 3700 1800
Wire Wire Line
4100 2650 4100 3250
Wire Wire Line
4100 3250 4100 5550
Connection ~ 4100 3250
Wire Wire Line
4400 2200 4400 2450
Wire Wire Line
4500 1800 4500 2550
NoConn ~ 7650 2950
NoConn ~ 7650 3050
NoConn ~ 7650 2650
NoConn ~ 6450 3150
NoConn ~ 6450 3250
NoConn ~ 6450 3350
NoConn ~ 6450 3450
NoConn ~ 6450 3550
NoConn ~ 6450 3650
NoConn ~ 6450 2550
NoConn ~ 6450 2350
NoConn ~ 4600 2850
NoConn ~ 4600 2950
NoConn ~ 4600 3050
NoConn ~ 4600 3150
NoConn ~ 4600 3250
NoConn ~ 4600 3350
NoConn ~ 4600 3450
NoConn ~ 4600 3550
NoConn ~ 5300 4100
NoConn ~ 5400 4100
NoConn ~ 5500 4100
NoConn ~ 5600 4100
NoConn ~ 5700 4100
NoConn ~ 5800 4100
NoConn ~ 10250 2250
Wire Wire Line
10050 2400 10050 2450
Wire Wire Line
10150 1650 10150 1400
Wire Wire Line
10900 2400 10050 2400
Connection ~ 10050 2400
Wire Wire Line
10900 1800 10900 1400
Wire Wire Line
10900 1400 10150 1400
Connection ~ 10150 1400
Wire Wire Line
8900 1850 9500 1850
Wire Wire Line
10050 2250 10050 2400
Wire Wire Line
9050 1400 9200 1400
Wire Wire Line
10900 2100 10900 2400
Wire Wire Line
2600 800 2900 800
$EndSCHEMATC

Binary file not shown.

Binary file not shown.