[HW] add voltage regulator as option + measure battery voltage instead of VDD

This commit is contained in:
Jannik Beyerstedt 2018-08-06 20:31:23 +02:00
parent c56085613d
commit 68d0235c8a
18 changed files with 1760 additions and 1023 deletions

View File

@ -277,6 +277,27 @@ X TCK 9 -200 -100 150 R 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Regulator_Linear:TC1262-33
#
DEF Regulator_Linear:TC1262-33 U 0 10 Y Y 1 F N
F0 "U" -150 125 50 H V C CNN
F1 "Regulator_Linear:TC1262-33" 0 125 50 H V L CNN
F2 "" 0 225 50 H I C CIN
F3 "" 0 -300 50 H I C CNN
ALIAS TC1262-25 TC1262-28 TC1262-30 TC1262-50
$FPLIST
SOT?223*
TO?220*
TO?263*
$ENDFPLIST
DRAW
S -200 75 200 -200 1 1 10 f
X VI 1 -300 0 100 R 50 50 1 1 W
X GND 2 0 -300 100 U 50 50 1 1 W
X VO 3 300 0 100 L 50 50 1 1 w
ENDDRAW
ENDDEF
#
# Sensor_Humidity:Si7020-A20
#
DEF Sensor_Humidity:Si7020-A20 U 0 20 Y Y 1 F N

View File

@ -1,12 +1,12 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.0.0-3-g5ebb6b6)*
G04 #@! TF.CreationDate,2018-08-02T21:25:15+02:00*
G04 #@! TF.CreationDate,2018-08-06T20:02:11+02:00*
G04 #@! TF.ProjectId,esp32-sensornode,65737033322D73656E736F726E6F6465,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Copper,L2,Bot,Signal*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (5.0.0-3-g5ebb6b6)) date Thursday, 02 August 2018 at 21:25:15*
G04 Created by KiCad (PCBNEW (5.0.0-3-g5ebb6b6)) date Monday, 06 August 2018 at 20:02:11*
%MOMM*%
%LPD*%
G01*
@ -21,59 +21,65 @@ G04 #@! TA.AperFunction,ComponentPad*
%ADD12C,1.400000*%
G04 #@! TD*
G04 #@! TA.AperFunction,ComponentPad*
%ADD13R,2.200000X2.200000*%
%ADD13R,1.700000X1.700000*%
G04 #@! TD*
G04 #@! TA.AperFunction,ComponentPad*
%ADD14O,2.200000X2.200000*%
%ADD14O,1.700000X1.700000*%
G04 #@! TD*
G04 #@! TA.AperFunction,ComponentPad*
%ADD15R,1.700000X1.700000*%
%ADD15C,1.600000*%
G04 #@! TD*
G04 #@! TA.AperFunction,ComponentPad*
%ADD16O,1.700000X1.700000*%
G04 #@! TD*
G04 #@! TA.AperFunction,ComponentPad*
%ADD17C,1.600000*%
G04 #@! TD*
G04 #@! TA.AperFunction,ComponentPad*
%ADD18O,1.600000X1.600000*%
%ADD16O,1.600000X1.600000*%
G04 #@! TD*
G04 #@! TA.AperFunction,Conductor*
%ADD19C,0.100000*%
%ADD17C,0.100000*%
G04 #@! TD*
G04 #@! TA.AperFunction,SMDPad,CuDef*
%ADD20C,1.500000*%
%ADD18C,1.500000*%
G04 #@! TD*
G04 #@! TA.AperFunction,SMDPad,CuDef*
%ADD21C,0.400000*%
%ADD19C,0.400000*%
G04 #@! TD*
G04 #@! TA.AperFunction,ComponentPad*
%ADD22C,2.000000*%
%ADD20C,2.000000*%
G04 #@! TD*
G04 #@! TA.AperFunction,SMDPad,CuDef*
%ADD23C,1.150000*%
%ADD21C,1.150000*%
G04 #@! TD*
G04 #@! TA.AperFunction,ComponentPad*
%ADD24R,2.000000X2.000000*%
%ADD22R,2.000000X2.000000*%
G04 #@! TD*
G04 #@! TA.AperFunction,ComponentPad*
%ADD23R,3.200000X3.200000*%
G04 #@! TD*
G04 #@! TA.AperFunction,ComponentPad*
%ADD24O,3.200000X3.200000*%
G04 #@! TD*
G04 #@! TA.AperFunction,SMDPad,CuDef*
%ADD25R,2.000000X3.800000*%
G04 #@! TD*
G04 #@! TA.AperFunction,SMDPad,CuDef*
%ADD26R,2.000000X1.500000*%
G04 #@! TD*
G04 #@! TA.AperFunction,ViaPad*
%ADD25C,0.800000*%
%ADD27C,0.800000*%
G04 #@! TD*
G04 #@! TA.AperFunction,Conductor*
%ADD26C,0.500000*%
%ADD28C,0.500000*%
G04 #@! TD*
G04 #@! TA.AperFunction,Conductor*
%ADD27C,0.250000*%
%ADD29C,0.250000*%
G04 #@! TD*
G04 APERTURE END LIST*
D10*
G04 #@! TO.P,BT1,2*
G04 #@! TO.N,GND*
X189280000Y-53650000D03*
X189030000Y-53295000D03*
D11*
G04 #@! TO.P,BT1,1*
G04 #@! TO.N,Net-(BT1-Pad1)*
X189280000Y-125050000D03*
G04 #@! TO.N,BAT*
X189030000Y-124695000D03*
G04 #@! TD*
D12*
G04 #@! TO.P,C3,1*
@ -84,19 +90,10 @@ G04 #@! TO.N,GND*
X207605000Y-107315000D03*
G04 #@! TD*
D13*
G04 #@! TO.P,D1,1*
G04 #@! TO.N,VDD*
X199390000Y-130175000D03*
D14*
G04 #@! TO.P,D1,2*
G04 #@! TO.N,Net-(BT1-Pad1)*
X189230000Y-130175000D03*
G04 #@! TD*
D15*
G04 #@! TO.P,J2,1*
G04 #@! TO.N,N/C*
X228600000Y-119380000D03*
D16*
D14*
G04 #@! TO.P,J2,2*
G04 #@! TO.N,/TX*
X228600000Y-116840000D03*
@ -112,11 +109,11 @@ G04 #@! TO.P,J2,6*
G04 #@! TO.N,GND*
X228600000Y-106680000D03*
G04 #@! TD*
D15*
D13*
G04 #@! TO.P,J3,1*
G04 #@! TO.N,VDD*
X207645000Y-91440000D03*
D16*
D14*
G04 #@! TO.P,J3,2*
G04 #@! TO.N,GND*
X207645000Y-93980000D03*
@ -127,11 +124,11 @@ G04 #@! TO.P,J3,4*
G04 #@! TO.N,SDA*
X207645000Y-99060000D03*
G04 #@! TD*
D15*
D13*
G04 #@! TO.P,J4,1*
G04 #@! TO.N,VDD*
X228600000Y-95885000D03*
D16*
D14*
G04 #@! TO.P,J4,2*
G04 #@! TO.N,Net-(J4-Pad2)*
X228600000Y-98425000D03*
@ -139,11 +136,11 @@ G04 #@! TO.P,J4,3*
G04 #@! TO.N,GND*
X228600000Y-100965000D03*
G04 #@! TD*
D17*
D15*
G04 #@! TO.P,R1,1*
G04 #@! TO.N,VDD*
X203200000Y-72390000D03*
D18*
D16*
G04 #@! TO.P,R1,2*
G04 #@! TO.N,Net-(C1-Pad1)*
X203200000Y-62230000D03*
@ -151,38 +148,38 @@ G04 #@! TD*
G04 #@! TO.P,R2,2*
G04 #@! TO.N,Net-(R2-Pad2)*
X223520000Y-82550000D03*
D17*
D15*
G04 #@! TO.P,R2,1*
G04 #@! TO.N,GND*
X213360000Y-82550000D03*
G04 #@! TD*
D18*
D16*
G04 #@! TO.P,R3,2*
G04 #@! TO.N,/BOOT*
X223520000Y-92075000D03*
D17*
D15*
G04 #@! TO.P,R3,1*
G04 #@! TO.N,Net-(J4-Pad2)*
X213360000Y-92075000D03*
G04 #@! TD*
G04 #@! TO.P,R6,1*
G04 #@! TO.N,VDD*
G04 #@! TO.N,BAT*
X203200000Y-100330000D03*
D18*
D16*
G04 #@! TO.P,R6,2*
G04 #@! TO.N,VCC_SENS*
G04 #@! TO.N,BAT_SENS*
X203200000Y-90170000D03*
G04 #@! TD*
D17*
D15*
G04 #@! TO.P,R7,1*
G04 #@! TO.N,VCC_SENS*
G04 #@! TO.N,BAT_SENS*
X203200000Y-86360000D03*
D18*
D16*
G04 #@! TO.P,R7,2*
G04 #@! TO.N,GND*
X203200000Y-76200000D03*
G04 #@! TD*
D19*
D17*
G04 #@! TO.N,N/C*
G04 #@! TO.C,U2*
G36*
@ -257,12 +254,12 @@ X187640000Y-97040000D01*
X187664504Y-97041204D01*
X187664504Y-97041204D01*
G37*
D20*
D18*
G04 #@! TD*
G04 #@! TO.P,U2,7*
G04 #@! TO.N,N/C*
X186690000Y-97790000D03*
D19*
D17*
G04 #@! TO.N,SDA*
G04 #@! TO.C,U2*
G36*
@ -337,12 +334,12 @@ X187790000Y-98840000D01*
X187799802Y-98840482D01*
X187799802Y-98840482D01*
G37*
D21*
D19*
G04 #@! TD*
G04 #@! TO.P,U2,1*
G04 #@! TO.N,SDA*
X187690000Y-99240000D03*
D19*
D17*
G04 #@! TO.N,GND*
G04 #@! TO.C,U2*
G36*
@ -417,12 +414,12 @@ X186790000Y-98840000D01*
X186799802Y-98840482D01*
X186799802Y-98840482D01*
G37*
D21*
D19*
G04 #@! TD*
G04 #@! TO.P,U2,2*
G04 #@! TO.N,GND*
X186690000Y-99240000D03*
D19*
D17*
G04 #@! TO.N,N/C*
G04 #@! TO.C,U2*
G36*
@ -497,12 +494,12 @@ X185790000Y-98840000D01*
X185799802Y-98840482D01*
X185799802Y-98840482D01*
G37*
D21*
D19*
G04 #@! TD*
G04 #@! TO.P,U2,3*
G04 #@! TO.N,N/C*
X185690000Y-99240000D03*
D19*
D17*
G04 #@! TO.N,N/C*
G04 #@! TO.C,U2*
G36*
@ -577,12 +574,12 @@ X185790000Y-95940000D01*
X185799802Y-95940482D01*
X185799802Y-95940482D01*
G37*
D21*
D19*
G04 #@! TD*
G04 #@! TO.P,U2,4*
G04 #@! TO.N,N/C*
X185690000Y-96340000D03*
D19*
D17*
G04 #@! TO.N,VDD*
G04 #@! TO.C,U2*
G36*
@ -657,12 +654,12 @@ X186790000Y-95940000D01*
X186799802Y-95940482D01*
X186799802Y-95940482D01*
G37*
D21*
D19*
G04 #@! TD*
G04 #@! TO.P,U2,5*
G04 #@! TO.N,VDD*
X186690000Y-96340000D03*
D19*
D17*
G04 #@! TO.N,SCL*
G04 #@! TO.C,U2*
G36*
@ -737,12 +734,12 @@ X187790000Y-95940000D01*
X187799802Y-95940482D01*
X187799802Y-95940482D01*
G37*
D21*
D19*
G04 #@! TD*
G04 #@! TO.P,U2,6*
G04 #@! TO.N,SCL*
X187690000Y-96340000D03*
D22*
D20*
G04 #@! TO.P,SW1,2*
G04 #@! TO.N,Net-(C1-Pad1)*
X229925000Y-130810000D03*
@ -756,17 +753,17 @@ G04 #@! TO.P,SW1,1*
G04 #@! TO.N,Net-(R8-Pad1)*
X225425000Y-124310000D03*
G04 #@! TD*
D17*
D15*
G04 #@! TO.P,R8,1*
G04 #@! TO.N,Net-(R8-Pad1)*
X223520000Y-97155000D03*
D18*
D16*
G04 #@! TO.P,R8,2*
G04 #@! TO.N,GND*
X213360000Y-97155000D03*
G04 #@! TD*
D19*
G04 #@! TO.N,VCC_SENS*
D17*
G04 #@! TO.N,BAT_SENS*
G04 #@! TO.C,C4*
G36*
X198224505Y-64071204D02*
@ -840,12 +837,12 @@ X198200001Y-64070000D01*
X198224505Y-64071204D01*
X198224505Y-64071204D01*
G37*
D23*
D21*
G04 #@! TD*
G04 #@! TO.P,C4,1*
G04 #@! TO.N,VCC_SENS*
G04 #@! TO.N,BAT_SENS*
X197875000Y-64770000D03*
D19*
D17*
G04 #@! TO.N,GND*
G04 #@! TO.C,C4*
G36*
@ -920,12 +917,12 @@ X196150001Y-64070000D01*
X196174505Y-64071204D01*
X196174505Y-64071204D01*
G37*
D23*
D21*
G04 #@! TD*
G04 #@! TO.P,C4,2*
G04 #@! TO.N,GND*
X195825000Y-64770000D03*
D19*
D17*
G04 #@! TO.N,VDD*
G04 #@! TO.C,C2*
G36*
@ -1000,12 +997,12 @@ X182695001Y-96190000D01*
X182719505Y-96191204D01*
X182719505Y-96191204D01*
G37*
D23*
D21*
G04 #@! TD*
G04 #@! TO.P,C2,1*
G04 #@! TO.N,VDD*
X182245000Y-96765000D03*
D19*
D17*
G04 #@! TO.N,GND*
G04 #@! TO.C,C2*
G36*
@ -1080,16 +1077,16 @@ X182695001Y-98240000D01*
X182719505Y-98241204D01*
X182719505Y-98241204D01*
G37*
D23*
D21*
G04 #@! TD*
G04 #@! TO.P,C2,2*
G04 #@! TO.N,GND*
X182245000Y-98815000D03*
D15*
D13*
G04 #@! TO.P,J1,1*
G04 #@! TO.N,VDD*
X215265000Y-115570000D03*
D16*
D14*
G04 #@! TO.P,J1,2*
G04 #@! TO.N,N/C*
X217805000Y-115570000D03*
@ -1128,7 +1125,7 @@ G04 #@! TO.P,J1,14*
G04 #@! TO.N,GND*
X217805000Y-130810000D03*
G04 #@! TD*
D19*
D17*
G04 #@! TO.N,SCL*
G04 #@! TO.C,R4*
G36*
@ -1203,12 +1200,12 @@ X192220001Y-93377500D01*
X192244505Y-93378704D01*
X192244505Y-93378704D01*
G37*
D23*
D21*
G04 #@! TD*
G04 #@! TO.P,R4,2*
G04 #@! TO.N,SCL*
X191770000Y-93952500D03*
D19*
D17*
G04 #@! TO.N,VDD*
G04 #@! TO.C,R4*
G36*
@ -1283,12 +1280,12 @@ X192220001Y-91327500D01*
X192244505Y-91328704D01*
X192244505Y-91328704D01*
G37*
D23*
D21*
G04 #@! TD*
G04 #@! TO.P,R4,1*
G04 #@! TO.N,VDD*
X191770000Y-91902500D03*
D19*
D17*
G04 #@! TO.N,VDD*
G04 #@! TO.C,R5*
G36*
@ -1363,12 +1360,12 @@ X196030001Y-91327500D01*
X196054505Y-91328704D01*
X196054505Y-91328704D01*
G37*
D23*
D21*
G04 #@! TD*
G04 #@! TO.P,R5,1*
G04 #@! TO.N,VDD*
X195580000Y-91902500D03*
D19*
D17*
G04 #@! TO.N,SDA*
G04 #@! TO.C,R5*
G36*
@ -1443,12 +1440,12 @@ X196030001Y-93377500D01*
X196054505Y-93378704D01*
X196054505Y-93378704D01*
G37*
D23*
D21*
G04 #@! TD*
G04 #@! TO.P,R5,2*
G04 #@! TO.N,SDA*
X195580000Y-93952500D03*
D17*
D15*
G04 #@! TO.P,C1,1*
G04 #@! TO.N,Net-(C1-Pad1)*
X203200000Y-59690000D03*
@ -1456,11 +1453,11 @@ G04 #@! TO.P,C1,2*
G04 #@! TO.N,GND*
X203200000Y-54690000D03*
G04 #@! TD*
D24*
D22*
G04 #@! TO.P,C5,1*
G04 #@! TO.N,VDD*
X206375000Y-113030000D03*
D22*
D20*
G04 #@! TO.P,C5,2*
G04 #@! TO.N,GND*
X206375000Y-118030000D03*
@ -1468,12 +1465,12 @@ G04 #@! TD*
G04 #@! TO.P,C6,2*
G04 #@! TO.N,GND*
X206375000Y-124540000D03*
D24*
D22*
G04 #@! TO.P,C6,1*
G04 #@! TO.N,VDD*
X206375000Y-129540000D03*
G04 #@! TD*
D19*
D17*
G04 #@! TO.N,VDD*
G04 #@! TO.C,C7*
G36*
@ -1548,12 +1545,12 @@ X192855001Y-56965000D01*
X192879505Y-56966204D01*
X192879505Y-56966204D01*
G37*
D23*
D21*
G04 #@! TD*
G04 #@! TO.P,C7,1*
G04 #@! TO.N,VDD*
X192405000Y-57540000D03*
D19*
D17*
G04 #@! TO.N,GND*
G04 #@! TO.C,C7*
G36*
@ -1628,12 +1625,12 @@ X192855001Y-54915000D01*
X192879505Y-54916204D01*
X192879505Y-54916204D01*
G37*
D23*
D21*
G04 #@! TD*
G04 #@! TO.P,C7,2*
G04 #@! TO.N,GND*
X192405000Y-55490000D03*
D19*
D17*
G04 #@! TO.N,GND*
G04 #@! TO.C,C8*
G36*
@ -1708,12 +1705,12 @@ X196030001Y-54915000D01*
X196054505Y-54916204D01*
X196054505Y-54916204D01*
G37*
D23*
D21*
G04 #@! TD*
G04 #@! TO.P,C8,2*
G04 #@! TO.N,GND*
X195580000Y-55490000D03*
D19*
D17*
G04 #@! TO.N,VDD*
G04 #@! TO.C,C8*
G36*
@ -1788,12 +1785,12 @@ X196030001Y-56965000D01*
X196054505Y-56966204D01*
X196054505Y-56966204D01*
G37*
D23*
D21*
G04 #@! TD*
G04 #@! TO.P,C8,1*
G04 #@! TO.N,VDD*
X195580000Y-57540000D03*
D19*
D17*
G04 #@! TO.N,VDD*
G04 #@! TO.C,C9*
G36*
@ -1868,12 +1865,12 @@ X199205001Y-56965000D01*
X199229505Y-56966204D01*
X199229505Y-56966204D01*
G37*
D23*
D21*
G04 #@! TD*
G04 #@! TO.P,C9,1*
G04 #@! TO.N,VDD*
X198755000Y-57540000D03*
D19*
D17*
G04 #@! TO.N,GND*
G04 #@! TO.C,C9*
G36*
@ -1948,12 +1945,194 @@ X199205001Y-54915000D01*
X199229505Y-54916204D01*
X199229505Y-54916204D01*
G37*
D23*
D21*
G04 #@! TD*
G04 #@! TO.P,C9,2*
G04 #@! TO.N,GND*
X198755000Y-55490000D03*
D17*
G04 #@! TO.N,VDD*
G04 #@! TO.C,C10*
G36*
X184624505Y-106986204D02*
X184648773Y-106989804D01*
X184672572Y-106995765D01*
X184695671Y-107004030D01*
X184717850Y-107014520D01*
X184738893Y-107027132D01*
X184758599Y-107041747D01*
X184776777Y-107058223D01*
X184793253Y-107076401D01*
X184807868Y-107096107D01*
X184820480Y-107117150D01*
X184830970Y-107139329D01*
X184839235Y-107162428D01*
X184845196Y-107186227D01*
X184848796Y-107210495D01*
X184850000Y-107234999D01*
X184850000Y-107885001D01*
X184848796Y-107909505D01*
X184845196Y-107933773D01*
X184839235Y-107957572D01*
X184830970Y-107980671D01*
X184820480Y-108002850D01*
X184807868Y-108023893D01*
X184793253Y-108043599D01*
X184776777Y-108061777D01*
X184758599Y-108078253D01*
X184738893Y-108092868D01*
X184717850Y-108105480D01*
X184695671Y-108115970D01*
X184672572Y-108124235D01*
X184648773Y-108130196D01*
X184624505Y-108133796D01*
X184600001Y-108135000D01*
X183699999Y-108135000D01*
X183675495Y-108133796D01*
X183651227Y-108130196D01*
X183627428Y-108124235D01*
X183604329Y-108115970D01*
X183582150Y-108105480D01*
X183561107Y-108092868D01*
X183541401Y-108078253D01*
X183523223Y-108061777D01*
X183506747Y-108043599D01*
X183492132Y-108023893D01*
X183479520Y-108002850D01*
X183469030Y-107980671D01*
X183460765Y-107957572D01*
X183454804Y-107933773D01*
X183451204Y-107909505D01*
X183450000Y-107885001D01*
X183450000Y-107234999D01*
X183451204Y-107210495D01*
X183454804Y-107186227D01*
X183460765Y-107162428D01*
X183469030Y-107139329D01*
X183479520Y-107117150D01*
X183492132Y-107096107D01*
X183506747Y-107076401D01*
X183523223Y-107058223D01*
X183541401Y-107041747D01*
X183561107Y-107027132D01*
X183582150Y-107014520D01*
X183604329Y-107004030D01*
X183627428Y-106995765D01*
X183651227Y-106989804D01*
X183675495Y-106986204D01*
X183699999Y-106985000D01*
X184600001Y-106985000D01*
X184624505Y-106986204D01*
X184624505Y-106986204D01*
G37*
D21*
G04 #@! TD*
G04 #@! TO.P,C10,1*
G04 #@! TO.N,VDD*
X184150000Y-107560000D03*
D17*
G04 #@! TO.N,GND*
G04 #@! TO.C,C10*
G36*
X184624505Y-109036204D02*
X184648773Y-109039804D01*
X184672572Y-109045765D01*
X184695671Y-109054030D01*
X184717850Y-109064520D01*
X184738893Y-109077132D01*
X184758599Y-109091747D01*
X184776777Y-109108223D01*
X184793253Y-109126401D01*
X184807868Y-109146107D01*
X184820480Y-109167150D01*
X184830970Y-109189329D01*
X184839235Y-109212428D01*
X184845196Y-109236227D01*
X184848796Y-109260495D01*
X184850000Y-109284999D01*
X184850000Y-109935001D01*
X184848796Y-109959505D01*
X184845196Y-109983773D01*
X184839235Y-110007572D01*
X184830970Y-110030671D01*
X184820480Y-110052850D01*
X184807868Y-110073893D01*
X184793253Y-110093599D01*
X184776777Y-110111777D01*
X184758599Y-110128253D01*
X184738893Y-110142868D01*
X184717850Y-110155480D01*
X184695671Y-110165970D01*
X184672572Y-110174235D01*
X184648773Y-110180196D01*
X184624505Y-110183796D01*
X184600001Y-110185000D01*
X183699999Y-110185000D01*
X183675495Y-110183796D01*
X183651227Y-110180196D01*
X183627428Y-110174235D01*
X183604329Y-110165970D01*
X183582150Y-110155480D01*
X183561107Y-110142868D01*
X183541401Y-110128253D01*
X183523223Y-110111777D01*
X183506747Y-110093599D01*
X183492132Y-110073893D01*
X183479520Y-110052850D01*
X183469030Y-110030671D01*
X183460765Y-110007572D01*
X183454804Y-109983773D01*
X183451204Y-109959505D01*
X183450000Y-109935001D01*
X183450000Y-109284999D01*
X183451204Y-109260495D01*
X183454804Y-109236227D01*
X183460765Y-109212428D01*
X183469030Y-109189329D01*
X183479520Y-109167150D01*
X183492132Y-109146107D01*
X183506747Y-109126401D01*
X183523223Y-109108223D01*
X183541401Y-109091747D01*
X183561107Y-109077132D01*
X183582150Y-109064520D01*
X183604329Y-109054030D01*
X183627428Y-109045765D01*
X183651227Y-109039804D01*
X183675495Y-109036204D01*
X183699999Y-109035000D01*
X184600001Y-109035000D01*
X184624505Y-109036204D01*
X184624505Y-109036204D01*
G37*
D21*
G04 #@! TD*
G04 #@! TO.P,C10,2*
G04 #@! TO.N,GND*
X184150000Y-109610000D03*
D23*
G04 #@! TO.P,D1,1*
G04 #@! TO.N,VDD*
X198755000Y-130175000D03*
D24*
G04 #@! TO.P,D1,2*
G04 #@! TO.N,BAT*
X186055000Y-130175000D03*
G04 #@! TD*
D25*
G04 #@! TO.P,U3,2*
G04 #@! TO.N,GND*
X194920000Y-109855000D03*
D26*
X188620000Y-109855000D03*
G04 #@! TO.P,U3,3*
G04 #@! TO.N,VDD*
X188620000Y-107555000D03*
G04 #@! TO.P,U3,1*
G04 #@! TO.N,BAT*
X188620000Y-112155000D03*
G04 #@! TD*
D27*
G04 #@! TO.N,GND*
X205105000Y-56515000D03*
G04 #@! TO.N,Net-(C1-Pad1)*
@ -1967,7 +2146,7 @@ X217805000Y-87630000D03*
G04 #@! TO.N,Net-(J1-Pad13)*
X222250000Y-78740000D03*
X215265000Y-80010000D03*
G04 #@! TO.N,VCC_SENS*
G04 #@! TO.N,BAT_SENS*
X203200000Y-64770000D03*
G04 #@! TO.N,/TX*
X231140000Y-62865000D03*
@ -1987,8 +2166,12 @@ X222250000Y-104775000D03*
X213360000Y-104775000D03*
X208915000Y-115570000D03*
X200660000Y-59690000D03*
X184150000Y-105410000D03*
G04 #@! TO.N,BAT*
X203200000Y-104140000D03*
X198755000Y-115570000D03*
G04 #@! TD*
D26*
D28*
G04 #@! TO.N,GND*
X215265000Y-128270000D02*
X217805000Y-128270000D01*
@ -2046,8 +2229,6 @@ X206375000Y-118030000D02*
X197565000Y-118030000D01*
X197485000Y-118110000D02*
X197485000Y-124460000D01*
X197485000Y-102235000D02*
X197485000Y-118110000D01*
X206375000Y-124540000D02*
X208835000Y-124540000D01*
X208835000Y-124540000D02*
@ -2066,39 +2247,47 @@ X207645000Y-102235000D02*
X197485000Y-102235000D01*
X213360000Y-102235000D02*
X207645000Y-102235000D01*
X202160000Y-53650000D02*
X203200000Y-54690000D01*
X192405000Y-53650000D02*
X192405000Y-55490000D01*
X189280000Y-53650000D02*
X192405000Y-53650000D01*
X195580000Y-53650000D02*
X195580000Y-55490000D01*
X192405000Y-53650000D02*
X195580000Y-53650000D01*
X195580000Y-53650000D02*
X198755000Y-53650000D01*
X198755000Y-53650000D02*
X198755000Y-55490000D01*
X198755000Y-53650000D02*
X202160000Y-53650000D01*
X189280000Y-56124873D02*
X189230000Y-56174873D01*
X189280000Y-53650000D02*
X189280000Y-56124873D01*
X189230000Y-56174873D02*
X189230000Y-59055000D01*
X189230000Y-59055000D02*
X193040000Y-62865000D01*
X193040000Y-71755000D02*
X197485000Y-76200000D01*
X195825000Y-64770000D02*
X193040000Y-64770000D01*
X193040000Y-64770000D02*
X193040000Y-71755000D01*
X201805000Y-53295000D02*
X203200000Y-54690000D01*
X198755000Y-53295000D02*
X198755000Y-55490000D01*
X198755000Y-53295000D02*
X201805000Y-53295000D01*
X195580000Y-53295000D02*
X195580000Y-55490000D01*
X195580000Y-53295000D02*
X198755000Y-53295000D01*
X192405000Y-53295000D02*
X192405000Y-55490000D01*
X189030000Y-53295000D02*
X192405000Y-53295000D01*
X192405000Y-53295000D02*
X195580000Y-53295000D01*
X189030000Y-58855000D02*
X189030000Y-53295000D01*
X193040000Y-62865000D02*
X193040000Y-64770000D01*
D27*
X189030000Y-58855000D01*
X193040000Y-64770000D02*
X193040000Y-62865000D01*
X194920000Y-109855000D02*
X197485000Y-109855000D01*
X197485000Y-109855000D02*
X197485000Y-118110000D01*
X197485000Y-102235000D02*
X197485000Y-109855000D01*
X194920000Y-109855000D02*
X188620000Y-109855000D01*
X184395000Y-109855000D02*
X184150000Y-109610000D01*
X188620000Y-109855000D02*
X184395000Y-109855000D01*
D29*
G04 #@! TO.N,Net-(C1-Pad1)*
X203999999Y-63029999D02*
X203200000Y-62230000D01*
@ -2146,7 +2335,7 @@ X226060000Y-97790000D02*
X226695000Y-98425000D01*
X226695000Y-98425000D02*
X228600000Y-98425000D01*
G04 #@! TO.N,VCC_SENS*
G04 #@! TO.N,BAT_SENS*
X203200000Y-64770000D02*
X197875000Y-64770000D01*
G04 #@! TO.N,/TX*
@ -2241,7 +2430,7 @@ X212090000Y-90170000D02*
X229235000Y-90170000D01*
X211455000Y-98425000D02*
X211455000Y-90805000D01*
D26*
D28*
G04 #@! TO.N,VDD*
X213360000Y-104775000D02*
X222250000Y-104775000D01*
@ -2275,5 +2464,29 @@ X200660000Y-59690000D02*
X198755000Y-59690000D01*
X198755000Y-59690000D02*
X195580000Y-59690000D01*
X188615000Y-107560000D02*
X188620000Y-107555000D01*
X184150000Y-107560000D02*
X188615000Y-107560000D01*
X184150000Y-107560000D02*
X184150000Y-105410000D01*
X184150000Y-105410000D02*
X184150000Y-105410000D01*
G04 #@! TO.N,BAT*
X188620000Y-112155000D02*
X186295000Y-112155000D01*
X186295000Y-119710000D02*
X189030000Y-122445000D01*
X189030000Y-122445000D02*
X189030000Y-124695000D01*
X186295000Y-112155000D02*
X186295000Y-119710000D01*
D29*
X203200000Y-104140000D02*
X198755000Y-108585000D01*
X198755000Y-108585000D02*
X198755000Y-115570000D01*
X198755000Y-115570000D02*
X198755000Y-115570000D01*
G04 #@! TD*
M02*

View File

@ -1,12 +1,12 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.0.0-3-g5ebb6b6)*
G04 #@! TF.CreationDate,2018-08-02T21:25:15+02:00*
G04 #@! TF.CreationDate,2018-08-06T20:02:11+02:00*
G04 #@! TF.ProjectId,esp32-sensornode,65737033322D73656E736F726E6F6465,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Legend,Bot*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (5.0.0-3-g5ebb6b6)) date Thursday, 02 August 2018 at 21:25:15*
G04 Created by KiCad (PCBNEW (5.0.0-3-g5ebb6b6)) date Monday, 06 August 2018 at 20:02:11*
%MOMM*%
%LPD*%
G01*
@ -463,6 +463,20 @@ X199465000Y-56776252D02*
X199465000Y-56253748D01*
X198045000Y-56776252D02*
X198045000Y-56253748D01*
G04 #@! TO.C,C10*
X183440000Y-108323748D02*
X183440000Y-108846252D01*
X184860000Y-108323748D02*
X184860000Y-108846252D01*
G04 #@! TO.C,U3*
X193680000Y-106445000D02*
X193680000Y-107705000D01*
X193680000Y-113265000D02*
X193680000Y-112005000D01*
X189920000Y-106445000D02*
X193680000Y-106445000D01*
X187670000Y-113265000D02*
X193680000Y-113265000D01*
G04 #@! TO.C,U2*
D12*
X188592380Y-97028095D02*
@ -802,5 +816,75 @@ X200476428Y-57110238D01*
X200428809Y-57205476D01*
X200381190Y-57253095D01*
X200285952Y-57300714D01*
G04 #@! TO.C,C10*
X182857142Y-107942142D02*
X182904761Y-107894523D01*
X182952380Y-107751666D01*
X182952380Y-107656428D01*
X182904761Y-107513571D01*
X182809523Y-107418333D01*
X182714285Y-107370714D01*
X182523809Y-107323095D01*
X182380952Y-107323095D01*
X182190476Y-107370714D01*
X182095238Y-107418333D01*
X182000000Y-107513571D01*
X181952380Y-107656428D01*
X181952380Y-107751666D01*
X182000000Y-107894523D01*
X182047619Y-107942142D01*
X182952380Y-108894523D02*
X182952380Y-108323095D01*
X182952380Y-108608809D02*
X181952380Y-108608809D01*
X182095238Y-108513571D01*
X182190476Y-108418333D01*
X182238095Y-108323095D01*
X181952380Y-109513571D02*
X181952380Y-109608809D01*
X182000000Y-109704047D01*
X182047619Y-109751666D01*
X182142857Y-109799285D01*
X182333333Y-109846904D01*
X182571428Y-109846904D01*
X182761904Y-109799285D01*
X182857142Y-109751666D01*
X182904761Y-109704047D01*
X182952380Y-109608809D01*
X182952380Y-109513571D01*
X182904761Y-109418333D01*
X182857142Y-109370714D01*
X182761904Y-109323095D01*
X182571428Y-109275476D01*
X182333333Y-109275476D01*
X182142857Y-109323095D01*
X182047619Y-109370714D01*
X182000000Y-109418333D01*
X181952380Y-109513571D01*
G04 #@! TO.C,U3*
X192531904Y-113807380D02*
X192531904Y-114616904D01*
X192484285Y-114712142D01*
X192436666Y-114759761D01*
X192341428Y-114807380D01*
X192150952Y-114807380D01*
X192055714Y-114759761D01*
X192008095Y-114712142D01*
X191960476Y-114616904D01*
X191960476Y-113807380D01*
X191579523Y-113807380D02*
X190960476Y-113807380D01*
X191293809Y-114188333D01*
X191150952Y-114188333D01*
X191055714Y-114235952D01*
X191008095Y-114283571D01*
X190960476Y-114378809D01*
X190960476Y-114616904D01*
X191008095Y-114712142D01*
X191055714Y-114759761D01*
X191150952Y-114807380D01*
X191436666Y-114807380D01*
X191531904Y-114759761D01*
X191579523Y-114712142D01*
G04 #@! TD*
M02*

View File

@ -1,12 +1,12 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.0.0-3-g5ebb6b6)*
G04 #@! TF.CreationDate,2018-08-02T21:25:15+02:00*
G04 #@! TF.CreationDate,2018-08-06T20:02:11+02:00*
G04 #@! TF.ProjectId,esp32-sensornode,65737033322D73656E736F726E6F6465,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Soldermask,Bot*
G04 #@! TF.FilePolarity,Negative*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (5.0.0-3-g5ebb6b6)) date Thursday, 02 August 2018 at 21:25:15*
G04 Created by KiCad (PCBNEW (5.0.0-3-g5ebb6b6)) date Monday, 06 August 2018 at 20:02:11*
%MOMM*%
%LPD*%
G01*
@ -17,17 +17,19 @@ G04 APERTURE LIST*
%ADD13C,3.400000*%
%ADD14C,1.900000*%
%ADD15C,1.800000*%
%ADD16R,2.600000X2.600000*%
%ADD17O,2.600000X2.600000*%
%ADD18R,2.100000X2.100000*%
%ADD19O,2.100000X2.100000*%
%ADD20C,2.000000*%
%ADD21O,2.000000X2.000000*%
%ADD22C,0.100000*%
%ADD23C,0.800000*%
%ADD24C,2.400000*%
%ADD25C,1.550000*%
%ADD26R,2.400000X2.400000*%
%ADD16R,2.100000X2.100000*%
%ADD17O,2.100000X2.100000*%
%ADD18C,2.000000*%
%ADD19O,2.000000X2.000000*%
%ADD20C,0.100000*%
%ADD21C,0.800000*%
%ADD22C,2.400000*%
%ADD23C,1.550000*%
%ADD24R,2.400000X2.400000*%
%ADD25R,3.600000X3.600000*%
%ADD26O,3.600000X3.600000*%
%ADD27R,2.400000X4.200000*%
%ADD28R,2.400000X1.900000*%
G04 APERTURE END LIST*
D10*
G04 #@! TO.C,REF\002A\002A*
@ -38,14 +40,14 @@ X229870000Y-56515000D03*
G04 #@! TD*
D11*
G04 #@! TO.C,BT1*
X189280000Y-53650000D03*
X189030000Y-53295000D03*
D12*
X189280000Y-125050000D03*
X189030000Y-124695000D03*
D13*
X189280000Y-116200000D03*
X189280000Y-62500000D03*
X189030000Y-115845000D03*
X189030000Y-62145000D03*
D14*
X179580000Y-123600000D03*
X179330000Y-123245000D03*
G04 #@! TD*
D15*
G04 #@! TO.C,C3*
@ -53,65 +55,59 @@ X205105000Y-107315000D03*
X207605000Y-107315000D03*
G04 #@! TD*
D16*
G04 #@! TO.C,D1*
X199390000Y-130175000D03*
D17*
X189230000Y-130175000D03*
G04 #@! TD*
D18*
G04 #@! TO.C,J2*
X228600000Y-119380000D03*
D19*
D17*
X228600000Y-116840000D03*
X228600000Y-114300000D03*
X228600000Y-111760000D03*
X228600000Y-109220000D03*
X228600000Y-106680000D03*
G04 #@! TD*
D18*
D16*
G04 #@! TO.C,J3*
X207645000Y-91440000D03*
D19*
D17*
X207645000Y-93980000D03*
X207645000Y-96520000D03*
X207645000Y-99060000D03*
G04 #@! TD*
D18*
D16*
G04 #@! TO.C,J4*
X228600000Y-95885000D03*
D19*
D17*
X228600000Y-98425000D03*
X228600000Y-100965000D03*
G04 #@! TD*
D20*
D18*
G04 #@! TO.C,R1*
X203200000Y-72390000D03*
D21*
D19*
X203200000Y-62230000D03*
G04 #@! TD*
G04 #@! TO.C,R2*
X223520000Y-82550000D03*
D20*
D18*
X213360000Y-82550000D03*
G04 #@! TD*
D21*
D19*
G04 #@! TO.C,R3*
X223520000Y-92075000D03*
D20*
D18*
X213360000Y-92075000D03*
G04 #@! TD*
G04 #@! TO.C,R6*
X203200000Y-100330000D03*
D21*
D19*
X203200000Y-90170000D03*
G04 #@! TD*
D20*
D18*
G04 #@! TO.C,R7*
X203200000Y-86360000D03*
D21*
D19*
X203200000Y-76200000D03*
G04 #@! TD*
D22*
D20*
G04 #@! TO.C,U2*
G36*
X187804372Y-96841525D02*
@ -187,7 +183,7 @@ X187804372Y-96841525D01*
G37*
D14*
X186690000Y-97790000D03*
D22*
D20*
G36*
X187909603Y-98640963D02*
X187929018Y-98643843D01*
@ -260,9 +256,9 @@ X187890000Y-98640000D01*
X187909603Y-98640963D01*
X187909603Y-98640963D01*
G37*
D23*
D21*
X187690000Y-99240000D03*
D22*
D20*
G36*
X186909603Y-98640963D02*
X186929018Y-98643843D01*
@ -335,9 +331,9 @@ X186890000Y-98640000D01*
X186909603Y-98640963D01*
X186909603Y-98640963D01*
G37*
D23*
D21*
X186690000Y-99240000D03*
D22*
D20*
G36*
X185909603Y-98640963D02*
X185929018Y-98643843D01*
@ -410,9 +406,9 @@ X185890000Y-98640000D01*
X185909603Y-98640963D01*
X185909603Y-98640963D01*
G37*
D23*
D21*
X185690000Y-99240000D03*
D22*
D20*
G36*
X185909603Y-95740963D02*
X185929018Y-95743843D01*
@ -485,9 +481,9 @@ X185890000Y-95740000D01*
X185909603Y-95740963D01*
X185909603Y-95740963D01*
G37*
D23*
D21*
X185690000Y-96340000D03*
D22*
D20*
G36*
X186909603Y-95740963D02*
X186929018Y-95743843D01*
@ -560,9 +556,9 @@ X186890000Y-95740000D01*
X186909603Y-95740963D01*
X186909603Y-95740963D01*
G37*
D23*
D21*
X186690000Y-96340000D03*
D22*
D20*
G36*
X187909603Y-95740963D02*
X187929018Y-95743843D01*
@ -635,23 +631,23 @@ X187890000Y-95740000D01*
X187909603Y-95740963D01*
X187909603Y-95740963D01*
G37*
D23*
D21*
X187690000Y-96340000D03*
G04 #@! TD*
D24*
D22*
G04 #@! TO.C,SW1*
X229925000Y-130810000D03*
X225425000Y-130810000D03*
X229925000Y-124310000D03*
X225425000Y-124310000D03*
G04 #@! TD*
D20*
D18*
G04 #@! TO.C,R8*
X223520000Y-97155000D03*
D21*
D19*
X213360000Y-97155000D03*
G04 #@! TD*
D22*
D20*
G04 #@! TO.C,C4*
G36*
X198346071Y-63871623D02*
@ -725,9 +721,9 @@ X198313044Y-63870000D01*
X198346071Y-63871623D01*
X198346071Y-63871623D01*
G37*
D25*
D23*
X197875000Y-64770000D03*
D22*
D20*
G36*
X196296071Y-63871623D02*
X196328781Y-63876475D01*
@ -800,10 +796,10 @@ X196263044Y-63870000D01*
X196296071Y-63871623D01*
X196296071Y-63871623D01*
G37*
D25*
D23*
X195825000Y-64770000D03*
G04 #@! TD*
D22*
D20*
G04 #@! TO.C,C2*
G36*
X182841071Y-95991623D02*
@ -877,9 +873,9 @@ X182808044Y-95990000D01*
X182841071Y-95991623D01*
X182841071Y-95991623D01*
G37*
D25*
D23*
X182245000Y-96765000D03*
D22*
D20*
G36*
X182841071Y-98041623D02*
X182873781Y-98046475D01*
@ -952,13 +948,13 @@ X182808044Y-98040000D01*
X182841071Y-98041623D01*
X182841071Y-98041623D01*
G37*
D25*
D23*
X182245000Y-98815000D03*
G04 #@! TD*
D18*
D16*
G04 #@! TO.C,J1*
X215265000Y-115570000D03*
D19*
D17*
X217805000Y-115570000D03*
X215265000Y-118110000D03*
X217805000Y-118110000D03*
@ -973,7 +969,7 @@ X217805000Y-128270000D03*
X215265000Y-130810000D03*
X217805000Y-130810000D03*
G04 #@! TD*
D22*
D20*
G04 #@! TO.C,R4*
G36*
X192366071Y-93179123D02*
@ -1047,9 +1043,9 @@ X192333044Y-93177500D01*
X192366071Y-93179123D01*
X192366071Y-93179123D01*
G37*
D25*
D23*
X191770000Y-93952500D03*
D22*
D20*
G36*
X192366071Y-91129123D02*
X192398781Y-91133975D01*
@ -1122,10 +1118,10 @@ X192333044Y-91127500D01*
X192366071Y-91129123D01*
X192366071Y-91129123D01*
G37*
D25*
D23*
X191770000Y-91902500D03*
G04 #@! TD*
D22*
D20*
G04 #@! TO.C,R5*
G36*
X196176071Y-91129123D02*
@ -1199,9 +1195,9 @@ X196143044Y-91127500D01*
X196176071Y-91129123D01*
X196176071Y-91129123D01*
G37*
D25*
D23*
X195580000Y-91902500D03*
D22*
D20*
G36*
X196176071Y-93179123D02*
X196208781Y-93183975D01*
@ -1274,26 +1270,26 @@ X196143044Y-93177500D01*
X196176071Y-93179123D01*
X196176071Y-93179123D01*
G37*
D25*
D23*
X195580000Y-93952500D03*
G04 #@! TD*
D20*
D18*
G04 #@! TO.C,C1*
X203200000Y-59690000D03*
X203200000Y-54690000D03*
G04 #@! TD*
D26*
D24*
G04 #@! TO.C,C5*
X206375000Y-113030000D03*
D24*
D22*
X206375000Y-118030000D03*
G04 #@! TD*
G04 #@! TO.C,C6*
X206375000Y-124540000D03*
D26*
D24*
X206375000Y-129540000D03*
G04 #@! TD*
D22*
D20*
G04 #@! TO.C,C7*
G36*
X193001071Y-56766623D02*
@ -1367,9 +1363,9 @@ X192968044Y-56765000D01*
X193001071Y-56766623D01*
X193001071Y-56766623D01*
G37*
D25*
D23*
X192405000Y-57540000D03*
D22*
D20*
G36*
X193001071Y-54716623D02*
X193033781Y-54721475D01*
@ -1442,10 +1438,10 @@ X192968044Y-54715000D01*
X193001071Y-54716623D01*
X193001071Y-54716623D01*
G37*
D25*
D23*
X192405000Y-55490000D03*
G04 #@! TD*
D22*
D20*
G04 #@! TO.C,C8*
G36*
X196176071Y-54716623D02*
@ -1519,9 +1515,9 @@ X196143044Y-54715000D01*
X196176071Y-54716623D01*
X196176071Y-54716623D01*
G37*
D25*
D23*
X195580000Y-55490000D03*
D22*
D20*
G36*
X196176071Y-56766623D02*
X196208781Y-56771475D01*
@ -1594,10 +1590,10 @@ X196143044Y-56765000D01*
X196176071Y-56766623D01*
X196176071Y-56766623D01*
G37*
D25*
D23*
X195580000Y-57540000D03*
G04 #@! TD*
D22*
D20*
G04 #@! TO.C,C9*
G36*
X199351071Y-56766623D02*
@ -1671,9 +1667,9 @@ X199318044Y-56765000D01*
X199351071Y-56766623D01*
X199351071Y-56766623D01*
G37*
D25*
D23*
X198755000Y-57540000D03*
D22*
D20*
G36*
X199351071Y-54716623D02*
X199383781Y-54721475D01*
@ -1746,7 +1742,173 @@ X199318044Y-54715000D01*
X199351071Y-54716623D01*
X199351071Y-54716623D01*
G37*
D25*
D23*
X198755000Y-55490000D03*
G04 #@! TD*
D20*
G04 #@! TO.C,C10*
G36*
X184746071Y-106786623D02*
X184778781Y-106791475D01*
X184810857Y-106799509D01*
X184841991Y-106810649D01*
X184871884Y-106824787D01*
X184900247Y-106841787D01*
X184926807Y-106861485D01*
X184951308Y-106883692D01*
X184973515Y-106908193D01*
X184993213Y-106934753D01*
X185010213Y-106963116D01*
X185024351Y-106993009D01*
X185035491Y-107024143D01*
X185043525Y-107056219D01*
X185048377Y-107088929D01*
X185050000Y-107121956D01*
X185050000Y-107998044D01*
X185048377Y-108031071D01*
X185043525Y-108063781D01*
X185035491Y-108095857D01*
X185024351Y-108126991D01*
X185010213Y-108156884D01*
X184993213Y-108185247D01*
X184973515Y-108211807D01*
X184951308Y-108236308D01*
X184926807Y-108258515D01*
X184900247Y-108278213D01*
X184871884Y-108295213D01*
X184841991Y-108309351D01*
X184810857Y-108320491D01*
X184778781Y-108328525D01*
X184746071Y-108333377D01*
X184713044Y-108335000D01*
X183586956Y-108335000D01*
X183553929Y-108333377D01*
X183521219Y-108328525D01*
X183489143Y-108320491D01*
X183458009Y-108309351D01*
X183428116Y-108295213D01*
X183399753Y-108278213D01*
X183373193Y-108258515D01*
X183348692Y-108236308D01*
X183326485Y-108211807D01*
X183306787Y-108185247D01*
X183289787Y-108156884D01*
X183275649Y-108126991D01*
X183264509Y-108095857D01*
X183256475Y-108063781D01*
X183251623Y-108031071D01*
X183250000Y-107998044D01*
X183250000Y-107121956D01*
X183251623Y-107088929D01*
X183256475Y-107056219D01*
X183264509Y-107024143D01*
X183275649Y-106993009D01*
X183289787Y-106963116D01*
X183306787Y-106934753D01*
X183326485Y-106908193D01*
X183348692Y-106883692D01*
X183373193Y-106861485D01*
X183399753Y-106841787D01*
X183428116Y-106824787D01*
X183458009Y-106810649D01*
X183489143Y-106799509D01*
X183521219Y-106791475D01*
X183553929Y-106786623D01*
X183586956Y-106785000D01*
X184713044Y-106785000D01*
X184746071Y-106786623D01*
X184746071Y-106786623D01*
G37*
D23*
X184150000Y-107560000D03*
D20*
G36*
X184746071Y-108836623D02*
X184778781Y-108841475D01*
X184810857Y-108849509D01*
X184841991Y-108860649D01*
X184871884Y-108874787D01*
X184900247Y-108891787D01*
X184926807Y-108911485D01*
X184951308Y-108933692D01*
X184973515Y-108958193D01*
X184993213Y-108984753D01*
X185010213Y-109013116D01*
X185024351Y-109043009D01*
X185035491Y-109074143D01*
X185043525Y-109106219D01*
X185048377Y-109138929D01*
X185050000Y-109171956D01*
X185050000Y-110048044D01*
X185048377Y-110081071D01*
X185043525Y-110113781D01*
X185035491Y-110145857D01*
X185024351Y-110176991D01*
X185010213Y-110206884D01*
X184993213Y-110235247D01*
X184973515Y-110261807D01*
X184951308Y-110286308D01*
X184926807Y-110308515D01*
X184900247Y-110328213D01*
X184871884Y-110345213D01*
X184841991Y-110359351D01*
X184810857Y-110370491D01*
X184778781Y-110378525D01*
X184746071Y-110383377D01*
X184713044Y-110385000D01*
X183586956Y-110385000D01*
X183553929Y-110383377D01*
X183521219Y-110378525D01*
X183489143Y-110370491D01*
X183458009Y-110359351D01*
X183428116Y-110345213D01*
X183399753Y-110328213D01*
X183373193Y-110308515D01*
X183348692Y-110286308D01*
X183326485Y-110261807D01*
X183306787Y-110235247D01*
X183289787Y-110206884D01*
X183275649Y-110176991D01*
X183264509Y-110145857D01*
X183256475Y-110113781D01*
X183251623Y-110081071D01*
X183250000Y-110048044D01*
X183250000Y-109171956D01*
X183251623Y-109138929D01*
X183256475Y-109106219D01*
X183264509Y-109074143D01*
X183275649Y-109043009D01*
X183289787Y-109013116D01*
X183306787Y-108984753D01*
X183326485Y-108958193D01*
X183348692Y-108933692D01*
X183373193Y-108911485D01*
X183399753Y-108891787D01*
X183428116Y-108874787D01*
X183458009Y-108860649D01*
X183489143Y-108849509D01*
X183521219Y-108841475D01*
X183553929Y-108836623D01*
X183586956Y-108835000D01*
X184713044Y-108835000D01*
X184746071Y-108836623D01*
X184746071Y-108836623D01*
G37*
D23*
X184150000Y-109610000D03*
G04 #@! TD*
D25*
G04 #@! TO.C,D1*
X198755000Y-130175000D03*
D26*
X186055000Y-130175000D03*
G04 #@! TD*
D27*
G04 #@! TO.C,U3*
X194920000Y-109855000D03*
D28*
X188620000Y-109855000D03*
X188620000Y-107555000D03*
X188620000Y-112155000D03*
G04 #@! TD*
M02*

View File

@ -1,11 +1,11 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.0.0-3-g5ebb6b6)*
G04 #@! TF.CreationDate,2018-08-02T21:25:15+02:00*
G04 #@! TF.CreationDate,2018-08-06T20:02:11+02:00*
G04 #@! TF.ProjectId,esp32-sensornode,65737033322D73656E736F726E6F6465,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Profile,NP*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (5.0.0-3-g5ebb6b6)) date Thursday, 02 August 2018 at 21:25:15*
G04 Created by KiCad (PCBNEW (5.0.0-3-g5ebb6b6)) date Monday, 06 August 2018 at 20:02:11*
%MOMM*%
%LPD*%
G01*

View File

@ -1,12 +1,12 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.0.0-3-g5ebb6b6)*
G04 #@! TF.CreationDate,2018-08-02T21:25:15+02:00*
G04 #@! TF.CreationDate,2018-08-06T20:02:11+02:00*
G04 #@! TF.ProjectId,esp32-sensornode,65737033322D73656E736F726E6F6465,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Copper,L1,Top,Signal*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (5.0.0-3-g5ebb6b6)) date Thursday, 02 August 2018 at 21:25:15*
G04 Created by KiCad (PCBNEW (5.0.0-3-g5ebb6b6)) date Monday, 06 August 2018 at 20:02:11*
%MOMM*%
%LPD*%
G01*
@ -21,37 +21,37 @@ G04 #@! TA.AperFunction,ComponentPad*
%ADD12C,1.400000*%
G04 #@! TD*
G04 #@! TA.AperFunction,ComponentPad*
%ADD13R,2.200000X2.200000*%
%ADD13R,1.700000X1.700000*%
G04 #@! TD*
G04 #@! TA.AperFunction,ComponentPad*
%ADD14O,2.200000X2.200000*%
%ADD14O,1.700000X1.700000*%
G04 #@! TD*
G04 #@! TA.AperFunction,ComponentPad*
%ADD15R,1.700000X1.700000*%
%ADD15C,1.600000*%
G04 #@! TD*
G04 #@! TA.AperFunction,ComponentPad*
%ADD16O,1.700000X1.700000*%
G04 #@! TD*
G04 #@! TA.AperFunction,ComponentPad*
%ADD17C,1.600000*%
G04 #@! TD*
G04 #@! TA.AperFunction,ComponentPad*
%ADD18O,1.600000X1.600000*%
%ADD16O,1.600000X1.600000*%
G04 #@! TD*
G04 #@! TA.AperFunction,SMDPad,CuDef*
%ADD19O,2.500000X0.900000*%
%ADD17O,2.500000X0.900000*%
G04 #@! TD*
G04 #@! TA.AperFunction,SMDPad,CuDef*
%ADD20O,0.900000X2.500000*%
%ADD18O,0.900000X2.500000*%
G04 #@! TD*
G04 #@! TA.AperFunction,SMDPad,CuDef*
%ADD21R,6.000000X6.000000*%
%ADD19R,6.000000X6.000000*%
G04 #@! TD*
G04 #@! TA.AperFunction,ComponentPad*
%ADD22C,2.000000*%
%ADD20C,2.000000*%
G04 #@! TD*
G04 #@! TA.AperFunction,ComponentPad*
%ADD23R,2.000000X2.000000*%
%ADD21R,2.000000X2.000000*%
G04 #@! TD*
G04 #@! TA.AperFunction,ComponentPad*
%ADD22R,3.200000X3.200000*%
G04 #@! TD*
G04 #@! TA.AperFunction,ComponentPad*
%ADD23O,3.200000X3.200000*%
G04 #@! TD*
G04 #@! TA.AperFunction,ViaPad*
%ADD24C,0.800000*%
@ -66,11 +66,11 @@ G04 APERTURE END LIST*
D10*
G04 #@! TO.P,BT1,2*
G04 #@! TO.N,GND*
X189280000Y-53650000D03*
X189030000Y-53295000D03*
D11*
G04 #@! TO.P,BT1,1*
G04 #@! TO.N,Net-(BT1-Pad1)*
X189280000Y-125050000D03*
G04 #@! TO.N,BAT*
X189030000Y-124695000D03*
G04 #@! TD*
D12*
G04 #@! TO.P,C3,1*
@ -81,19 +81,10 @@ G04 #@! TO.N,GND*
X207605000Y-107315000D03*
G04 #@! TD*
D13*
G04 #@! TO.P,D1,1*
G04 #@! TO.N,VDD*
X199390000Y-130175000D03*
D14*
G04 #@! TO.P,D1,2*
G04 #@! TO.N,Net-(BT1-Pad1)*
X189230000Y-130175000D03*
G04 #@! TD*
D15*
G04 #@! TO.P,J2,1*
G04 #@! TO.N,N/C*
X228600000Y-119380000D03*
D16*
D14*
G04 #@! TO.P,J2,2*
G04 #@! TO.N,/TX*
X228600000Y-116840000D03*
@ -109,11 +100,11 @@ G04 #@! TO.P,J2,6*
G04 #@! TO.N,GND*
X228600000Y-106680000D03*
G04 #@! TD*
D15*
D13*
G04 #@! TO.P,J3,1*
G04 #@! TO.N,VDD*
X207645000Y-91440000D03*
D16*
D14*
G04 #@! TO.P,J3,2*
G04 #@! TO.N,GND*
X207645000Y-93980000D03*
@ -124,11 +115,11 @@ G04 #@! TO.P,J3,4*
G04 #@! TO.N,SDA*
X207645000Y-99060000D03*
G04 #@! TD*
D15*
D13*
G04 #@! TO.P,J4,1*
G04 #@! TO.N,VDD*
X228600000Y-95885000D03*
D16*
D14*
G04 #@! TO.P,J4,2*
G04 #@! TO.N,Net-(J4-Pad2)*
X228600000Y-98425000D03*
@ -136,11 +127,11 @@ G04 #@! TO.P,J4,3*
G04 #@! TO.N,GND*
X228600000Y-100965000D03*
G04 #@! TD*
D17*
D15*
G04 #@! TO.P,R1,1*
G04 #@! TO.N,VDD*
X203200000Y-72390000D03*
D18*
D16*
G04 #@! TO.P,R1,2*
G04 #@! TO.N,Net-(C1-Pad1)*
X203200000Y-62230000D03*
@ -148,38 +139,38 @@ G04 #@! TD*
G04 #@! TO.P,R2,2*
G04 #@! TO.N,Net-(R2-Pad2)*
X223520000Y-82550000D03*
D17*
D15*
G04 #@! TO.P,R2,1*
G04 #@! TO.N,GND*
X213360000Y-82550000D03*
G04 #@! TD*
D18*
D16*
G04 #@! TO.P,R3,2*
G04 #@! TO.N,/BOOT*
X223520000Y-92075000D03*
D17*
D15*
G04 #@! TO.P,R3,1*
G04 #@! TO.N,Net-(J4-Pad2)*
X213360000Y-92075000D03*
G04 #@! TD*
G04 #@! TO.P,R6,1*
G04 #@! TO.N,VDD*
G04 #@! TO.N,BAT*
X203200000Y-100330000D03*
D18*
D16*
G04 #@! TO.P,R6,2*
G04 #@! TO.N,VCC_SENS*
G04 #@! TO.N,BAT_SENS*
X203200000Y-90170000D03*
G04 #@! TD*
D17*
D15*
G04 #@! TO.P,R7,1*
G04 #@! TO.N,VCC_SENS*
G04 #@! TO.N,BAT_SENS*
X203200000Y-86360000D03*
D18*
D16*
G04 #@! TO.P,R7,2*
G04 #@! TO.N,GND*
X203200000Y-76200000D03*
G04 #@! TD*
D19*
D17*
G04 #@! TO.P,U1,38*
G04 #@! TO.N,GND*
X226805000Y-58250000D03*
@ -216,7 +207,7 @@ X226805000Y-73490000D03*
G04 #@! TO.P,U1,25*
G04 #@! TO.N,/BOOT*
X226805000Y-74760000D03*
D20*
D18*
G04 #@! TO.P,U1,24*
G04 #@! TO.N,Net-(R2-Pad2)*
X223520000Y-76250000D03*
@ -242,7 +233,7 @@ X213360000Y-76250000D03*
G04 #@! TO.P,U1,15*
G04 #@! TO.N,GND*
X212090000Y-76250000D03*
D19*
D17*
G04 #@! TO.P,U1,14*
G04 #@! TO.N,Net-(J1-Pad5)*
X208805000Y-74760000D03*
@ -267,7 +258,7 @@ X208805000Y-64600000D03*
G04 #@! TO.P,U1,5*
X208805000Y-63330000D03*
G04 #@! TO.P,U1,4*
G04 #@! TO.N,VCC_SENS*
G04 #@! TO.N,BAT_SENS*
X208805000Y-62060000D03*
G04 #@! TO.P,U1,3*
G04 #@! TO.N,Net-(C1-Pad1)*
@ -278,12 +269,12 @@ X208805000Y-59520000D03*
G04 #@! TO.P,U1,1*
G04 #@! TO.N,GND*
X208805000Y-58250000D03*
D21*
D19*
G04 #@! TO.P,U1,39*
G04 #@! TO.N,N/C*
X217505000Y-65950000D03*
G04 #@! TD*
D22*
D20*
G04 #@! TO.P,SW1,2*
G04 #@! TO.N,Net-(C1-Pad1)*
X229925000Y-130810000D03*
@ -297,20 +288,20 @@ G04 #@! TO.P,SW1,1*
G04 #@! TO.N,Net-(R8-Pad1)*
X225425000Y-124310000D03*
G04 #@! TD*
D17*
D15*
G04 #@! TO.P,R8,1*
G04 #@! TO.N,Net-(R8-Pad1)*
X223520000Y-97155000D03*
D18*
D16*
G04 #@! TO.P,R8,2*
G04 #@! TO.N,GND*
X213360000Y-97155000D03*
G04 #@! TD*
D15*
D13*
G04 #@! TO.P,J1,1*
G04 #@! TO.N,VDD*
X215265000Y-115570000D03*
D16*
D14*
G04 #@! TO.P,J1,2*
G04 #@! TO.N,N/C*
X217805000Y-115570000D03*
@ -349,7 +340,7 @@ G04 #@! TO.P,J1,14*
G04 #@! TO.N,GND*
X217805000Y-130810000D03*
G04 #@! TD*
D17*
D15*
G04 #@! TO.P,C1,1*
G04 #@! TO.N,Net-(C1-Pad1)*
X203200000Y-59690000D03*
@ -357,11 +348,11 @@ G04 #@! TO.P,C1,2*
G04 #@! TO.N,GND*
X203200000Y-54690000D03*
G04 #@! TD*
D23*
D21*
G04 #@! TO.P,C5,1*
G04 #@! TO.N,VDD*
X206375000Y-113030000D03*
D22*
D20*
G04 #@! TO.P,C5,2*
G04 #@! TO.N,GND*
X206375000Y-118030000D03*
@ -369,11 +360,20 @@ G04 #@! TD*
G04 #@! TO.P,C6,2*
G04 #@! TO.N,GND*
X206375000Y-124540000D03*
D23*
D21*
G04 #@! TO.P,C6,1*
G04 #@! TO.N,VDD*
X206375000Y-129540000D03*
G04 #@! TD*
D22*
G04 #@! TO.P,D1,1*
G04 #@! TO.N,VDD*
X198755000Y-130175000D03*
D23*
G04 #@! TO.P,D1,2*
G04 #@! TO.N,BAT*
X186055000Y-130175000D03*
G04 #@! TD*
D24*
G04 #@! TO.N,GND*
X205105000Y-56515000D03*
@ -388,7 +388,7 @@ X217805000Y-87630000D03*
G04 #@! TO.N,Net-(J1-Pad13)*
X222250000Y-78740000D03*
X215265000Y-80010000D03*
G04 #@! TO.N,VCC_SENS*
G04 #@! TO.N,BAT_SENS*
X203200000Y-64770000D03*
G04 #@! TO.N,/TX*
X231140000Y-62865000D03*
@ -408,6 +408,10 @@ X222250000Y-104775000D03*
X213360000Y-104775000D03*
X208915000Y-115570000D03*
X200660000Y-59690000D03*
X184150000Y-105410000D03*
G04 #@! TO.N,BAT*
X203200000Y-104140000D03*
X198755000Y-115570000D03*
G04 #@! TD*
D25*
G04 #@! TO.N,GND*
@ -432,13 +436,6 @@ X207055000Y-58250000D01*
X205105000Y-56515000D02*
X206840000Y-58250000D01*
D26*
G04 #@! TO.N,Net-(BT1-Pad1)*
X189280000Y-130125000D02*
X189230000Y-130175000D01*
D25*
X189280000Y-125050000D02*
X189280000Y-130125000D01*
D26*
G04 #@! TO.N,Net-(C1-Pad1)*
X204300000Y-60790000D02*
X203200000Y-59690000D01*
@ -569,7 +566,7 @@ X210185000Y-130175000D01*
G04 #@! TO.N,Net-(R2-Pad2)*
X223520000Y-82550000D02*
X223520000Y-76250000D01*
G04 #@! TO.N,VCC_SENS*
G04 #@! TO.N,BAT_SENS*
X203200000Y-90170000D02*
X203200000Y-86360000D01*
X205740000Y-62865000D02*
@ -642,8 +639,6 @@ X205740000Y-91440000D02*
X204470000Y-92710000D01*
X204470000Y-92710000D02*
X200660000Y-92710000D01*
X203200000Y-100330000D02*
X200660000Y-100330000D01*
X200660000Y-92710000D02*
X200660000Y-100330000D01*
X203200000Y-72390000D02*
@ -674,20 +669,10 @@ X206375000Y-59690000D02*
X204470000Y-57785000D01*
X206885000Y-59690000D02*
X206375000Y-59690000D01*
X205740000Y-130175000D02*
X206375000Y-129540000D01*
X199390000Y-130175000D02*
X205740000Y-130175000D01*
X200660000Y-127305000D02*
X200660000Y-115570000D01*
X199390000Y-128575000D02*
X200660000Y-127305000D01*
X199390000Y-130175000D02*
X199390000Y-128575000D01*
X200660000Y-113030000D02*
X206375000Y-113030000D01*
X200660000Y-113030000D02*
X200660000Y-115570000D01*
X206375000Y-113030000D02*
X208915000Y-113030000D01*
X208915000Y-113030000D02*
@ -698,9 +683,46 @@ X205105000Y-107315000D02*
X200660000Y-107315000D01*
X200660000Y-107315000D02*
X200660000Y-113030000D01*
X200660000Y-100330000D02*
X200660000Y-107315000D01*
X200660000Y-59690000D02*
X200660000Y-72390000D01*
X200660000Y-113030000D02*
X200660000Y-127305000D01*
X205740000Y-130175000D02*
X206375000Y-129540000D01*
X184150000Y-105410000D02*
X200660000Y-105410000D01*
X200660000Y-105410000D02*
X200660000Y-107315000D01*
X200660000Y-100330000D02*
X200660000Y-105410000D01*
X199390000Y-129540000D02*
X198755000Y-130175000D01*
X206375000Y-129540000D02*
X199390000Y-129540000D01*
X199525000Y-127305000D02*
X198755000Y-128075000D01*
X198755000Y-128075000D02*
X198755000Y-130175000D01*
X200660000Y-127305000D02*
X199525000Y-127305000D01*
D26*
G04 #@! TO.N,BAT*
X203200000Y-100330000D02*
X203200000Y-104140000D01*
X203200000Y-104140000D02*
X203200000Y-104140000D01*
X198755000Y-115570000D02*
X195580000Y-118745000D01*
X195580000Y-118745000D02*
X195580000Y-122555000D01*
X193440000Y-124695000D02*
X189030000Y-124695000D01*
X195580000Y-122555000D02*
X193440000Y-124695000D01*
D25*
X189030000Y-127200000D02*
X189030000Y-124695000D01*
X186055000Y-130175000D02*
X189030000Y-127200000D01*
G04 #@! TD*
M02*

View File

@ -1,12 +1,12 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.0.0-3-g5ebb6b6)*
G04 #@! TF.CreationDate,2018-08-02T21:25:15+02:00*
G04 #@! TF.CreationDate,2018-08-06T20:02:11+02:00*
G04 #@! TF.ProjectId,esp32-sensornode,65737033322D73656E736F726E6F6465,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Legend,Top*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (5.0.0-3-g5ebb6b6)) date Thursday, 02 August 2018 at 21:25:15*
G04 Created by KiCad (PCBNEW (5.0.0-3-g5ebb6b6)) date Monday, 06 August 2018 at 20:02:11*
%MOMM*%
%LPD*%
G01*
@ -189,14 +189,14 @@ X222293571Y-101410714D01*
X222293571Y-101267857D01*
D11*
G04 #@! TO.C,BT1*
X200280000Y-127350000D02*
X178280000Y-127350000D01*
X200280000Y-51350000D02*
X200280000Y-127350000D01*
X178280000Y-51350000D02*
X200280000Y-51350000D01*
X178280000Y-127350000D02*
X178280000Y-51350000D01*
X200030000Y-126995000D02*
X178030000Y-126995000D01*
X200030000Y-50995000D02*
X200030000Y-126995000D01*
X178030000Y-50995000D02*
X200030000Y-50995000D01*
X178030000Y-126995000D02*
X178030000Y-50995000D01*
D12*
G04 #@! TO.C,C3*
X203935000Y-105295000D02*
@ -207,25 +207,6 @@ X203935000Y-105295000D02*
X203935000Y-109335000D01*
X208775000Y-105295000D02*
X208775000Y-109335000D01*
G04 #@! TO.C,D1*
X197030000Y-131645000D02*
X197030000Y-128705000D01*
X197030000Y-128705000D02*
X191590000Y-128705000D01*
X191590000Y-128705000D02*
X191590000Y-131645000D01*
X191590000Y-131645000D02*
X197030000Y-131645000D01*
X198050000Y-130175000D02*
X197030000Y-130175000D01*
X190570000Y-130175000D02*
X191590000Y-130175000D01*
X196130000Y-131645000D02*
X196130000Y-128705000D01*
X196010000Y-131645000D02*
X196010000Y-128705000D01*
X196250000Y-131645000D02*
X196250000Y-128705000D01*
G04 #@! TO.C,J2*
X229930000Y-105350000D02*
X227270000Y-105350000D01*
@ -1178,38 +1159,57 @@ X211495000Y-127040000D02*
G75*
G03X211495000Y-127040000I-5120000J0D01*
G01*
G04 #@! TO.C,D1*
X197275000Y-132015000D02*
X197275000Y-132895000D01*
X197275000Y-132895000D02*
X187535000Y-132895000D01*
X187535000Y-132895000D02*
X187535000Y-132015000D01*
X197275000Y-128335000D02*
X197275000Y-127455000D01*
X197275000Y-127455000D02*
X187535000Y-127455000D01*
X187535000Y-127455000D02*
X187535000Y-128335000D01*
X195730000Y-132895000D02*
X195730000Y-127455000D01*
X195610000Y-132895000D02*
X195610000Y-127455000D01*
X195850000Y-132895000D02*
X195850000Y-127455000D01*
G04 #@! TO.C,BT1*
D11*
X190208571Y-89835714D02*
X190256190Y-89692857D01*
X190303809Y-89645238D01*
X190399047Y-89597619D01*
X190541904Y-89597619D01*
X190637142Y-89645238D01*
X190684761Y-89692857D01*
X190732380Y-89788095D01*
X190732380Y-90169047D01*
X189732380Y-90169047D01*
X189732380Y-89835714D01*
X189780000Y-89740476D01*
X189827619Y-89692857D01*
X189922857Y-89645238D01*
X190018095Y-89645238D01*
X190113333Y-89692857D01*
X190160952Y-89740476D01*
X190208571Y-89835714D01*
X190208571Y-90169047D01*
X189732380Y-89311904D02*
X189732380Y-88740476D01*
X190732380Y-89026190D02*
X189732380Y-89026190D01*
X190732380Y-87883333D02*
X190732380Y-88454761D01*
X190732380Y-88169047D02*
X189732380Y-88169047D01*
X189875238Y-88264285D01*
X189970476Y-88359523D01*
X190018095Y-88454761D01*
X189958571Y-89480714D02*
X190006190Y-89337857D01*
X190053809Y-89290238D01*
X190149047Y-89242619D01*
X190291904Y-89242619D01*
X190387142Y-89290238D01*
X190434761Y-89337857D01*
X190482380Y-89433095D01*
X190482380Y-89814047D01*
X189482380Y-89814047D01*
X189482380Y-89480714D01*
X189530000Y-89385476D01*
X189577619Y-89337857D01*
X189672857Y-89290238D01*
X189768095Y-89290238D01*
X189863333Y-89337857D01*
X189910952Y-89385476D01*
X189958571Y-89480714D01*
X189958571Y-89814047D01*
X189482380Y-88956904D02*
X189482380Y-88385476D01*
X190482380Y-88671190D02*
X189482380Y-88671190D01*
X190482380Y-87528333D02*
X190482380Y-88099761D01*
X190482380Y-87814047D02*
X189482380Y-87814047D01*
X189625238Y-87909285D01*
X189720476Y-88004523D01*
X189768095Y-88099761D01*
G04 #@! TO.C,C3*
X206188333Y-104522142D02*
X206140714Y-104569761D01*
@ -1241,33 +1241,6 @@ X206950238Y-104617380D01*
X206664523Y-104617380D01*
X206569285Y-104569761D01*
X206521666Y-104522142D01*
G04 #@! TO.C,D1*
X185951904Y-130627380D02*
X185951904Y-129627380D01*
X186190000Y-129627380D01*
X186332857Y-129675000D01*
X186428095Y-129770238D01*
X186475714Y-129865476D01*
X186523333Y-130055952D01*
X186523333Y-130198809D01*
X186475714Y-130389285D01*
X186428095Y-130484523D01*
X186332857Y-130579761D01*
X186190000Y-130627380D01*
X185951904Y-130627380D01*
X187475714Y-130627380D02*
X186904285Y-130627380D01*
X187190000Y-130627380D02*
X187190000Y-129627380D01*
X187094761Y-129770238D01*
X186999523Y-129865476D01*
X186904285Y-129913095D01*
X199128095Y-132727380D02*
X199128095Y-131727380D01*
X199699523Y-132727380D02*
X199270952Y-132155952D01*
X199699523Y-131727380D02*
X199128095Y-132298809D01*
G04 #@! TO.C,J2*
X228266666Y-121162380D02*
X228266666Y-121876666D01*
@ -2269,5 +2242,32 @@ X201763333Y-122150238D01*
X201810952Y-122245476D01*
X201858571Y-122293095D01*
X201953809Y-122340714D01*
G04 #@! TO.C,D1*
X191666904Y-134347380D02*
X191666904Y-133347380D01*
X191905000Y-133347380D01*
X192047857Y-133395000D01*
X192143095Y-133490238D01*
X192190714Y-133585476D01*
X192238333Y-133775952D01*
X192238333Y-133918809D01*
X192190714Y-134109285D01*
X192143095Y-134204523D01*
X192047857Y-134299761D01*
X191905000Y-134347380D01*
X191666904Y-134347380D01*
X193190714Y-134347380D02*
X192619285Y-134347380D01*
X192905000Y-134347380D02*
X192905000Y-133347380D01*
X192809761Y-133490238D01*
X192714523Y-133585476D01*
X192619285Y-133633095D01*
X198493095Y-133227380D02*
X198493095Y-132227380D01*
X199064523Y-133227380D02*
X198635952Y-132655952D01*
X199064523Y-132227380D02*
X198493095Y-132798809D01*
G04 #@! TD*
M02*

View File

@ -1,12 +1,12 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.0.0-3-g5ebb6b6)*
G04 #@! TF.CreationDate,2018-08-02T21:25:15+02:00*
G04 #@! TF.CreationDate,2018-08-06T20:02:11+02:00*
G04 #@! TF.ProjectId,esp32-sensornode,65737033322D73656E736F726E6F6465,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Soldermask,Top*
G04 #@! TF.FilePolarity,Negative*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (5.0.0-3-g5ebb6b6)) date Thursday, 02 August 2018 at 21:25:15*
G04 Created by KiCad (PCBNEW (5.0.0-3-g5ebb6b6)) date Monday, 06 August 2018 at 20:02:11*
%MOMM*%
%LPD*%
G01*
@ -17,17 +17,17 @@ G04 APERTURE LIST*
%ADD13C,3.400000*%
%ADD14C,1.900000*%
%ADD15C,1.800000*%
%ADD16R,2.600000X2.600000*%
%ADD17O,2.600000X2.600000*%
%ADD18R,2.100000X2.100000*%
%ADD19O,2.100000X2.100000*%
%ADD20C,2.000000*%
%ADD21O,2.000000X2.000000*%
%ADD22O,2.900000X1.300000*%
%ADD23O,1.300000X2.900000*%
%ADD24R,6.400000X6.400000*%
%ADD25C,2.400000*%
%ADD26R,2.400000X2.400000*%
%ADD16R,2.100000X2.100000*%
%ADD17O,2.100000X2.100000*%
%ADD18C,2.000000*%
%ADD19O,2.000000X2.000000*%
%ADD20O,2.900000X1.300000*%
%ADD21O,1.300000X2.900000*%
%ADD22R,6.400000X6.400000*%
%ADD23C,2.400000*%
%ADD24R,2.400000X2.400000*%
%ADD25R,3.600000X3.600000*%
%ADD26O,3.600000X3.600000*%
G04 APERTURE END LIST*
D10*
G04 #@! TO.C,REF\002A\002A*
@ -38,14 +38,14 @@ X229870000Y-56515000D03*
G04 #@! TD*
D11*
G04 #@! TO.C,BT1*
X189280000Y-53650000D03*
X189030000Y-53295000D03*
D12*
X189280000Y-125050000D03*
X189030000Y-124695000D03*
D13*
X189280000Y-116200000D03*
X189280000Y-62500000D03*
X189030000Y-115845000D03*
X189030000Y-62145000D03*
D14*
X179580000Y-123600000D03*
X179330000Y-123245000D03*
G04 #@! TD*
D15*
G04 #@! TO.C,C3*
@ -53,65 +53,59 @@ X205105000Y-107315000D03*
X207605000Y-107315000D03*
G04 #@! TD*
D16*
G04 #@! TO.C,D1*
X199390000Y-130175000D03*
D17*
X189230000Y-130175000D03*
G04 #@! TD*
D18*
G04 #@! TO.C,J2*
X228600000Y-119380000D03*
D19*
D17*
X228600000Y-116840000D03*
X228600000Y-114300000D03*
X228600000Y-111760000D03*
X228600000Y-109220000D03*
X228600000Y-106680000D03*
G04 #@! TD*
D18*
D16*
G04 #@! TO.C,J3*
X207645000Y-91440000D03*
D19*
D17*
X207645000Y-93980000D03*
X207645000Y-96520000D03*
X207645000Y-99060000D03*
G04 #@! TD*
D18*
D16*
G04 #@! TO.C,J4*
X228600000Y-95885000D03*
D19*
D17*
X228600000Y-98425000D03*
X228600000Y-100965000D03*
G04 #@! TD*
D20*
D18*
G04 #@! TO.C,R1*
X203200000Y-72390000D03*
D21*
D19*
X203200000Y-62230000D03*
G04 #@! TD*
G04 #@! TO.C,R2*
X223520000Y-82550000D03*
D20*
D18*
X213360000Y-82550000D03*
G04 #@! TD*
D21*
D19*
G04 #@! TO.C,R3*
X223520000Y-92075000D03*
D20*
D18*
X213360000Y-92075000D03*
G04 #@! TD*
G04 #@! TO.C,R6*
X203200000Y-100330000D03*
D21*
D19*
X203200000Y-90170000D03*
G04 #@! TD*
D20*
D18*
G04 #@! TO.C,R7*
X203200000Y-86360000D03*
D21*
D19*
X203200000Y-76200000D03*
G04 #@! TD*
D22*
D20*
G04 #@! TO.C,U1*
X226805000Y-58250000D03*
X226805000Y-59520000D03*
@ -127,7 +121,7 @@ X226805000Y-70950000D03*
X226805000Y-72220000D03*
X226805000Y-73490000D03*
X226805000Y-74760000D03*
D23*
D21*
X223520000Y-76250000D03*
X222250000Y-76250000D03*
X220980000Y-76250000D03*
@ -138,7 +132,7 @@ X215900000Y-76250000D03*
X214630000Y-76250000D03*
X213360000Y-76250000D03*
X212090000Y-76250000D03*
D22*
D20*
X208805000Y-74760000D03*
X208805000Y-73490000D03*
X208805000Y-72220000D03*
@ -153,26 +147,26 @@ X208805000Y-62060000D03*
X208805000Y-60790000D03*
X208805000Y-59520000D03*
X208805000Y-58250000D03*
D24*
D22*
X217505000Y-65950000D03*
G04 #@! TD*
D25*
D23*
G04 #@! TO.C,SW1*
X229925000Y-130810000D03*
X225425000Y-130810000D03*
X229925000Y-124310000D03*
X225425000Y-124310000D03*
G04 #@! TD*
D20*
D18*
G04 #@! TO.C,R8*
X223520000Y-97155000D03*
D21*
D19*
X213360000Y-97155000D03*
G04 #@! TD*
D18*
D16*
G04 #@! TO.C,J1*
X215265000Y-115570000D03*
D19*
D17*
X217805000Y-115570000D03*
X215265000Y-118110000D03*
X217805000Y-118110000D03*
@ -187,20 +181,26 @@ X217805000Y-128270000D03*
X215265000Y-130810000D03*
X217805000Y-130810000D03*
G04 #@! TD*
D20*
D18*
G04 #@! TO.C,C1*
X203200000Y-59690000D03*
X203200000Y-54690000D03*
G04 #@! TD*
D26*
D24*
G04 #@! TO.C,C5*
X206375000Y-113030000D03*
D25*
D23*
X206375000Y-118030000D03*
G04 #@! TD*
G04 #@! TO.C,C6*
X206375000Y-124540000D03*
D26*
D24*
X206375000Y-129540000D03*
G04 #@! TD*
D25*
G04 #@! TO.C,D1*
X198755000Y-130175000D03*
D26*
X186055000Y-130175000D03*
G04 #@! TD*
M02*

View File

@ -1,5 +1,5 @@
M48
;DRILL file {KiCad (5.0.0-3-g5ebb6b6)} date Thursday, 02 August 2018 at 21:25:24
;DRILL file {KiCad (5.0.0-3-g5ebb6b6)} date Monday, 06 August 2018 at 20:02:36
;FORMAT={3:3/ absolute / metric / suppress leading zeros}
FMAT,2
METRIC,TZ
@ -8,22 +8,26 @@ T2C0.700
T3C0.800
T4C1.000
T5C1.100
T6C2.000
T7C1.500
T8C2.200
T9C3.000
T6C1.600
T7C2.000
T8C1.500
T9C2.200
T10C3.000
%
G90
G05
M71
T1
X184150Y-105410
X194310Y-97155
X194310Y-98425
X195580Y-89535
X198755Y-115570
X199390Y-97155
X199390Y-98425
X200660Y-59690
X203200Y-64770
X203200Y-104140
X205105Y-56515
X208915Y-115570
X213360Y-104775
@ -43,12 +47,12 @@ T2
X205105Y-107315
X207605Y-107315
T3
X213360Y-97155
X223520Y-97155
X203200Y-62230
X203200Y-72390
X213360Y-92075
X223520Y-92075
X213360Y-97155
X223520Y-97155
X203200Y-76200
X203200Y-86360
X203200Y-54690
@ -58,8 +62,6 @@ X223520Y-82550
X203200Y-90170
X203200Y-100330
T4
X206375Y-113030
X206375Y-118030
X228600Y-95885
X228600Y-98425
X228600Y-100965
@ -69,12 +71,14 @@ X228600Y-111760
X228600Y-114300
X228600Y-116840
X228600Y-119380
X206375Y-124540
X206375Y-129540
X206375Y-113030
X206375Y-118030
X207645Y-91440
X207645Y-93980
X207645Y-96520
X207645Y-99060
X206375Y-124540
X206375Y-129540
X215265Y-115570
X215265Y-118110
X215265Y-120650
@ -90,22 +94,23 @@ X217805Y-125730
X217805Y-128270
X217805Y-130810
T5
X189230Y-130175
X199390Y-130175
X225425Y-124310
X225425Y-130810
X229925Y-124310
X229925Y-130810
T6
X189280Y-53650
X189280Y-125050
X186055Y-130175
X198755Y-130175
T7
X179580Y-123600
X189030Y-53295
X189030Y-124695
T8
X179330Y-123245
T9
X229870Y-56515
X180340Y-130175
T9
X189280Y-62500
X189280Y-116200
T10
X189030Y-62145
X189030Y-115845
T0
M30

View File

@ -1,22 +1,24 @@
Reference, Quantity, Value, Footprint, Datasheet
BT1 ,1,"18650 Li-Ion","JBeyerstedt-Library:BatteryHolder_COMF_BHC-18650-1","~"
C1 ,1,"1n","Capacitor_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm","~"
C10 ,1,"1u","Capacitor_SMD:C_0805_2012Metric_Pad1.15x1.40mm_HandSolder","~"
C2 C4 C9 ,3,"0.1u","Capacitor_SMD:C_0805_2012Metric_Pad1.15x1.40mm_HandSolder","~"
C3 ,1,"1u","Capacitor_THT:C_Rect_L4.6mm_W3.8mm_P2.50mm_MKS02_FKP02","~"
C5 ,1,"100u","Capacitor_THT:CP_Radial_D10.0mm_P5.00mm","~"
C6 ,1,"470u","Capacitor_THT:CP_Radial_D10.0mm_P5.00mm","~"
C7 ,1,"4.7u","Capacitor_SMD:C_0805_2012Metric_Pad1.15x1.40mm_HandSolder","~"
C8 ,1,"0.01u","Capacitor_SMD:C_0805_2012Metric_Pad1.15x1.40mm_HandSolder","~"
D1 ,1,"1N4001","Diode_THT:D_DO-41_SOD81_P10.16mm_Horizontal","http://www.vishay.com/docs/88503/1n4001.pdf"
D1 ,1,"1N5401","Diode_THT:D_DO-201AD_P12.70mm_Horizontal","http://www.vishay.com/docs/88503/1n4001.pdf"
J1 ,1,"JTAG_ESP32","Connector_PinHeader_2.54mm:PinHeader_2x07_P2.54mm_Vertical","~"
J2 ,1,"FTDI:GRN-GBL","Connector_PinHeader_2.54mm:PinHeader_1x06_P2.54mm_Vertical","~"
J2 ,1,"FTDI:GRN-BLK","Connector_PinHeader_2.54mm:PinHeader_1x06_P2.54mm_Vertical","~"
J3 ,1,"SI7021:SDA-VIN","Connector_PinHeader_2.54mm:PinHeader_1x04_P2.54mm_Vertical","~"
J4 ,1,"BOOT-EXEC","Connector_PinHeader_2.54mm:PinHeader_1x03_P2.54mm_Vertical","~"
R1 R2 R3 ,3,"22K","Resistor_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal","~"
R4 R5 ,2,"22K","Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder","~"
R6 ,1,"2.7M","Resistor_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal","~"
R6 ,1,"3.3M","Resistor_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal","~"
R7 ,1,"1M","Resistor_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal","~"
R8 ,1,"47R","Resistor_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal","~"
SW1 ,1,"EN","Button_Switch_THT:SW_PUSH_6mm",""
U1 ,1,"ESP32-WROOM","JBeyerstedt-Library:ESP32-WROOM",""
U2 ,1,"Si7021-A20","Package_DFN_QFN:DFN-6-1EP_3x3mm_P1mm_EP1.5x2.4mm","https://www.silabs.com/documents/public/data-sheets/Si7020-A20.pdf"
U3 ,1,"TC1262-33","Package_TO_SOT_SMD:SOT-223-3_TabPin2","http://ww1.microchip.com/downloads/en/DeviceDoc/21373C.pdf"
1 Reference Quantity Value Footprint Datasheet
2 BT1 1 18650 Li-Ion JBeyerstedt-Library:BatteryHolder_COMF_BHC-18650-1 ~
3 C1 1 1n Capacitor_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm ~
4 C10 1 1u Capacitor_SMD:C_0805_2012Metric_Pad1.15x1.40mm_HandSolder ~
5 C2 C4 C9 3 0.1u Capacitor_SMD:C_0805_2012Metric_Pad1.15x1.40mm_HandSolder ~
6 C3 1 1u Capacitor_THT:C_Rect_L4.6mm_W3.8mm_P2.50mm_MKS02_FKP02 ~
7 C5 1 100u Capacitor_THT:CP_Radial_D10.0mm_P5.00mm ~
8 C6 1 470u Capacitor_THT:CP_Radial_D10.0mm_P5.00mm ~
9 C7 1 4.7u Capacitor_SMD:C_0805_2012Metric_Pad1.15x1.40mm_HandSolder ~
10 C8 1 0.01u Capacitor_SMD:C_0805_2012Metric_Pad1.15x1.40mm_HandSolder ~
11 D1 1 1N4001 1N5401 Diode_THT:D_DO-41_SOD81_P10.16mm_Horizontal Diode_THT:D_DO-201AD_P12.70mm_Horizontal http://www.vishay.com/docs/88503/1n4001.pdf
12 J1 1 JTAG_ESP32 Connector_PinHeader_2.54mm:PinHeader_2x07_P2.54mm_Vertical ~
13 J2 1 FTDI:GRN-GBL FTDI:GRN-BLK Connector_PinHeader_2.54mm:PinHeader_1x06_P2.54mm_Vertical ~
14 J3 1 SI7021:SDA-VIN Connector_PinHeader_2.54mm:PinHeader_1x04_P2.54mm_Vertical ~
15 J4 1 BOOT-EXEC Connector_PinHeader_2.54mm:PinHeader_1x03_P2.54mm_Vertical ~
16 R1 R2 R3 3 22K Resistor_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal ~
17 R4 R5 2 22K Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder ~
18 R6 1 2.7M 3.3M Resistor_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal ~
19 R7 1 1M Resistor_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal ~
20 R8 1 47R Resistor_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal ~
21 SW1 1 EN Button_Switch_THT:SW_PUSH_6mm
22 U1 1 ESP32-WROOM JBeyerstedt-Library:ESP32-WROOM
23 U2 1 Si7021-A20 Package_DFN_QFN:DFN-6-1EP_3x3mm_P1mm_EP1.5x2.4mm https://www.silabs.com/documents/public/data-sheets/Si7020-A20.pdf
24 U3 1 TC1262-33 Package_TO_SOT_SMD:SOT-223-3_TabPin2 http://ww1.microchip.com/downloads/en/DeviceDoc/21373C.pdf

File diff suppressed because it is too large Load Diff

View File

@ -1,7 +1,7 @@
(export (version D)
(design
(source /Users/jannik/JBeyerstedt-Projekte/esp32/esp32-sensornode/board-design/esp32-sensornode.sch)
(date "Thursday, 02 August 2018 at 21:19:19")
(date "Monday, 06 August 2018 at 19:57:07")
(tool "Eeschema (5.0.0-3-g5ebb6b6)")
(sheet (number 1) (name /) (tstamps /)
(title_block
@ -16,8 +16,8 @@
(comment (number 4) (value "")))))
(components
(comp (ref D1)
(value 1N4001)
(footprint Diode_THT:D_DO-41_SOD81_P10.16mm_Horizontal)
(value 1N5401)
(footprint Diode_THT:D_DO-201AD_P12.70mm_Horizontal)
(datasheet http://www.vishay.com/docs/88503/1n4001.pdf)
(libsource (lib Diode) (part 1N4001) (description "50V 1A General Purpose Rectifier Diode, DO-41"))
(sheetpath (names /) (tstamps /))
@ -71,7 +71,7 @@
(sheetpath (names /) (tstamps /))
(tstamp 5B5F57E6))
(comp (ref R6)
(value 2.7M)
(value 3.3M)
(footprint Resistor_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal)
(datasheet ~)
(libsource (lib Device) (part R) (description Resistor))
@ -194,7 +194,21 @@
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5B6A7469)))
(tstamp 5B6A7469))
(comp (ref U3)
(value TC1262-33)
(footprint Package_TO_SOT_SMD:SOT-223-3_TabPin2)
(datasheet http://ww1.microchip.com/downloads/en/DeviceDoc/21373C.pdf)
(libsource (lib Regulator_Linear) (part TC1262-33) (description "500mA Low Dropout CMOS Voltage Regulator, Fixed Output 3.3V, TO-220/SOT-223/TO-263"))
(sheetpath (names /) (tstamps /))
(tstamp 5B68D0BE))
(comp (ref C10)
(value 1u)
(footprint Capacitor_SMD:C_0805_2012Metric_Pad1.15x1.40mm_HandSolder)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5B68D194)))
(libparts
(libpart (lib Connector) (part Conn_01x03_Male)
(description "Generic connector, single row, 01x03, script generated (kicad-library-utils/schlib/autogen/connector/)")
@ -370,6 +384,25 @@
(pin (num 12) (name GND) (type passive))
(pin (num 13) (name TDO) (type passive))
(pin (num 14) (name GND) (type passive))))
(libpart (lib Regulator_Linear) (part TC1262-33)
(aliases
(alias TC1262-25)
(alias TC1262-28)
(alias TC1262-30)
(alias TC1262-50))
(description "500mA Low Dropout CMOS Voltage Regulator, Fixed Output 3.3V, TO-220/SOT-223/TO-263")
(docs http://ww1.microchip.com/downloads/en/DeviceDoc/21373C.pdf)
(footprints
(fp SOT?223*)
(fp TO?220*)
(fp TO?263*))
(fields
(field (name Reference) U)
(field (name Value) TC1262-33))
(pins
(pin (num 1) (name VI) (type power_in))
(pin (num 2) (name GND) (type power_in))
(pin (num 3) (name VO) (type power_out))))
(libpart (lib Sensor_Humidity) (part Si7020-A20)
(description "I2C Humidity and Temperature Sensor, DFN-6")
(docs https://www.silabs.com/documents/public/data-sheets/Si7020-A20.pdf)
@ -404,14 +437,32 @@
(uri "/Library/Application Support/kicad/library/Diode.lib"))
(library (logical JBeyerstedt-Library)
(uri /Users/jannik/JBeyerstedt-Projekte/kicad-eda/JBeyerstedt-Library.lib))
(library (logical Regulator_Linear)
(uri "/Library/Application Support/kicad/library/Regulator_Linear.lib"))
(library (logical Sensor_Humidity)
(uri "/Library/Application Support/kicad/library/Sensor_Humidity.lib"))
(library (logical Switch)
(uri "/Library/Application Support/kicad/library/Switch.lib")))
(nets
(net (code 1) (name "Net-(BT1-Pad1)")
(node (ref D1) (pin 2))
(node (ref BT1) (pin 1)))
(net (code 1) (name VDD)
(node (ref D1) (pin 1))
(node (ref U2) (pin 5))
(node (ref C3) (pin 1))
(node (ref C10) (pin 1))
(node (ref U3) (pin 3))
(node (ref U1) (pin 2))
(node (ref C2) (pin 1))
(node (ref C9) (pin 1))
(node (ref C8) (pin 1))
(node (ref C7) (pin 1))
(node (ref C6) (pin 1))
(node (ref C5) (pin 1))
(node (ref J3) (pin 1))
(node (ref J1) (pin 1))
(node (ref R1) (pin 1))
(node (ref J4) (pin 1))
(node (ref R4) (pin 1))
(node (ref R5) (pin 1)))
(net (code 2) (name "Net-(U2-Pad3)")
(node (ref U2) (pin 3)))
(net (code 3) (name "Net-(U2-Pad4)")
@ -424,148 +475,137 @@
(node (ref J2) (pin 4)))
(net (code 7) (name "Net-(J2-Pad5)")
(node (ref J2) (pin 5)))
(net (code 8) (name "Net-(U1-Pad10)")
(net (code 8) (name GND)
(node (ref U2) (pin 2))
(node (ref C1) (pin 2))
(node (ref C3) (pin 2))
(node (ref C2) (pin 2))
(node (ref J2) (pin 6))
(node (ref BT1) (pin 2))
(node (ref R7) (pin 2))
(node (ref U1) (pin 1))
(node (ref C9) (pin 2))
(node (ref J4) (pin 3))
(node (ref U1) (pin 38))
(node (ref C8) (pin 2))
(node (ref R2) (pin 1))
(node (ref U1) (pin 15))
(node (ref C10) (pin 2))
(node (ref C7) (pin 2))
(node (ref U3) (pin 2))
(node (ref R8) (pin 2))
(node (ref C4) (pin 2))
(node (ref C6) (pin 2))
(node (ref C5) (pin 2))
(node (ref J1) (pin 10))
(node (ref J1) (pin 11))
(node (ref J1) (pin 12))
(node (ref J1) (pin 14))
(node (ref J1) (pin 4))
(node (ref J1) (pin 6))
(node (ref J1) (pin 8))
(node (ref J3) (pin 2)))
(net (code 9) (name "Net-(U1-Pad10)")
(node (ref U1) (pin 10)))
(net (code 9) (name "Net-(U1-Pad11)")
(net (code 10) (name "Net-(U1-Pad11)")
(node (ref U1) (pin 11)))
(net (code 10) (name "Net-(U1-Pad12)")
(net (code 11) (name "Net-(U1-Pad12)")
(node (ref U1) (pin 12)))
(net (code 11) (name "Net-(U1-Pad17)")
(net (code 12) (name "Net-(U1-Pad17)")
(node (ref U1) (pin 17)))
(net (code 12) (name "Net-(U1-Pad18)")
(net (code 13) (name "Net-(U1-Pad18)")
(node (ref U1) (pin 18)))
(net (code 13) (name "Net-(U1-Pad19)")
(net (code 14) (name "Net-(U1-Pad19)")
(node (ref U1) (pin 19)))
(net (code 14) (name "Net-(U1-Pad20)")
(net (code 15) (name "Net-(U1-Pad20)")
(node (ref U1) (pin 20)))
(net (code 15) (name "Net-(U1-Pad21)")
(net (code 16) (name "Net-(U1-Pad21)")
(node (ref U1) (pin 21)))
(net (code 16) (name "Net-(U1-Pad22)")
(net (code 17) (name "Net-(U1-Pad22)")
(node (ref U1) (pin 22)))
(net (code 17) (name "Net-(U1-Pad26)")
(net (code 18) (name "Net-(U1-Pad26)")
(node (ref U1) (pin 26)))
(net (code 18) (name "Net-(U1-Pad27)")
(net (code 19) (name "Net-(U1-Pad27)")
(node (ref U1) (pin 27)))
(net (code 19) (name "Net-(U1-Pad28)")
(net (code 20) (name "Net-(U1-Pad28)")
(node (ref U1) (pin 28)))
(net (code 20) (name "Net-(U1-Pad29)")
(net (code 21) (name "Net-(U1-Pad29)")
(node (ref U1) (pin 29)))
(net (code 21) (name "Net-(U1-Pad30)")
(net (code 22) (name "Net-(U1-Pad30)")
(node (ref U1) (pin 30)))
(net (code 22) (name "Net-(U1-Pad31)")
(net (code 23) (name "Net-(U1-Pad31)")
(node (ref U1) (pin 31)))
(net (code 23) (name "Net-(U1-Pad32)")
(net (code 24) (name "Net-(U1-Pad32)")
(node (ref U1) (pin 32)))
(net (code 24) (name "Net-(U1-Pad37)")
(net (code 25) (name "Net-(U1-Pad37)")
(node (ref U1) (pin 37)))
(net (code 25) (name "Net-(U1-Pad39)")
(net (code 26) (name "Net-(U1-Pad39)")
(node (ref U1) (pin 39)))
(net (code 26) (name VCC_SENS)
(node (ref R6) (pin 2))
(net (code 27) (name BAT_SENS)
(node (ref C4) (pin 1))
(node (ref U1) (pin 4))
(node (ref R7) (pin 1))
(node (ref C4) (pin 1)))
(net (code 27) (name "Net-(U1-Pad5)")
(node (ref R6) (pin 2))
(node (ref R7) (pin 1)))
(net (code 28) (name "Net-(U1-Pad5)")
(node (ref U1) (pin 5)))
(net (code 28) (name "Net-(U1-Pad6)")
(net (code 29) (name "Net-(U1-Pad6)")
(node (ref U1) (pin 6)))
(net (code 29) (name "Net-(U1-Pad7)")
(net (code 30) (name "Net-(U1-Pad7)")
(node (ref U1) (pin 7)))
(net (code 30) (name "Net-(U1-Pad8)")
(net (code 31) (name "Net-(U1-Pad8)")
(node (ref U1) (pin 8)))
(net (code 31) (name "Net-(U1-Pad9)")
(net (code 32) (name "Net-(U1-Pad9)")
(node (ref U1) (pin 9)))
(net (code 32) (name "Net-(R2-Pad2)")
(node (ref U1) (pin 24))
(node (ref R2) (pin 2)))
(net (code 33) (name "Net-(J4-Pad2)")
(net (code 33) (name "Net-(R2-Pad2)")
(node (ref R2) (pin 2))
(node (ref U1) (pin 24)))
(net (code 34) (name BAT)
(node (ref BT1) (pin 1))
(node (ref U3) (pin 1))
(node (ref R6) (pin 1))
(node (ref D1) (pin 2)))
(net (code 35) (name "Net-(J4-Pad2)")
(node (ref J4) (pin 2))
(node (ref R3) (pin 1)))
(net (code 34) (name /TX)
(node (ref J2) (pin 2))
(node (ref U1) (pin 35)))
(net (code 35) (name /RX)
(net (code 36) (name /TX)
(node (ref U1) (pin 35))
(node (ref J2) (pin 2)))
(net (code 37) (name /RX)
(node (ref J2) (pin 3))
(node (ref U1) (pin 34)))
(net (code 36) (name "Net-(C1-Pad1)")
(node (ref J1) (pin 3))
(node (ref U1) (pin 3))
(net (code 38) (name "Net-(C1-Pad1)")
(node (ref C1) (pin 1))
(node (ref SW1) (pin 2))
(node (ref U1) (pin 3))
(node (ref R1) (pin 2))
(node (ref SW1) (pin 2)))
(net (code 37) (name "Net-(R8-Pad1)")
(node (ref J1) (pin 3)))
(net (code 39) (name "Net-(R8-Pad1)")
(node (ref SW1) (pin 1))
(node (ref R8) (pin 1)))
(net (code 38) (name "Net-(J1-Pad13)")
(net (code 40) (name "Net-(J1-Pad13)")
(node (ref J1) (pin 13))
(node (ref U1) (pin 23)))
(net (code 39) (name "Net-(J1-Pad2)")
(net (code 41) (name "Net-(J1-Pad2)")
(node (ref J1) (pin 2)))
(net (code 40) (name "Net-(J1-Pad9)")
(net (code 42) (name "Net-(J1-Pad9)")
(node (ref U1) (pin 16))
(node (ref J1) (pin 9)))
(net (code 41) (name SDA)
(net (code 43) (name SDA)
(node (ref J3) (pin 4))
(node (ref U2) (pin 1))
(node (ref U1) (pin 33))
(node (ref U2) (pin 1))
(node (ref R5) (pin 2)))
(net (code 42) (name SCL)
(net (code 44) (name SCL)
(node (ref R4) (pin 2))
(node (ref J3) (pin 3))
(node (ref U1) (pin 36))
(node (ref U2) (pin 6))
(node (ref R4) (pin 2)))
(net (code 43) (name /BOOT)
(node (ref U2) (pin 6)))
(net (code 45) (name /BOOT)
(node (ref R3) (pin 2))
(node (ref U1) (pin 25)))
(net (code 44) (name "Net-(J1-Pad5)")
(net (code 46) (name "Net-(J1-Pad5)")
(node (ref U1) (pin 14))
(node (ref J1) (pin 5)))
(net (code 45) (name "Net-(J1-Pad7)")
(net (code 47) (name "Net-(J1-Pad7)")
(node (ref U1) (pin 13))
(node (ref J1) (pin 7)))
(net (code 46) (name VDD)
(node (ref D1) (pin 1))
(node (ref U2) (pin 5))
(node (ref J1) (pin 1))
(node (ref U1) (pin 2))
(node (ref J3) (pin 1))
(node (ref R1) (pin 1))
(node (ref R4) (pin 1))
(node (ref R5) (pin 1))
(node (ref R6) (pin 1))
(node (ref J4) (pin 1))
(node (ref C9) (pin 1))
(node (ref C8) (pin 1))
(node (ref C7) (pin 1))
(node (ref C6) (pin 1))
(node (ref C5) (pin 1))
(node (ref C3) (pin 1))
(node (ref C2) (pin 1)))
(net (code 47) (name GND)
(node (ref R7) (pin 2))
(node (ref C2) (pin 2))
(node (ref C3) (pin 2))
(node (ref C1) (pin 2))
(node (ref C5) (pin 2))
(node (ref C6) (pin 2))
(node (ref C7) (pin 2))
(node (ref C8) (pin 2))
(node (ref C9) (pin 2))
(node (ref J1) (pin 8))
(node (ref J1) (pin 6))
(node (ref J4) (pin 3))
(node (ref J1) (pin 4))
(node (ref R2) (pin 1))
(node (ref J1) (pin 12))
(node (ref J3) (pin 2))
(node (ref J1) (pin 11))
(node (ref U1) (pin 38))
(node (ref J1) (pin 10))
(node (ref U1) (pin 15))
(node (ref U1) (pin 1))
(node (ref BT1) (pin 2))
(node (ref J2) (pin 6))
(node (ref U2) (pin 2))
(node (ref C4) (pin 2))
(node (ref R8) (pin 2))
(node (ref J1) (pin 14)))))
(node (ref J1) (pin 7)))))

View File

@ -1,4 +1,4 @@
update=Thursday, 02 August 2018 at 21:02:01
update=Monday, 06 August 2018 at 20:12:23
version=1
last_client=kicad
[general]

View File

@ -17,12 +17,12 @@ $EndDescr
$Comp
L Diode:1N4001 D1
U 1 1 5B5EF193
P 1250 800
F 0 "D1" H 1250 584 50 0000 C CNN
F 1 "1N4001" H 1250 675 50 0000 C CNN
F 2 "Diode_THT:D_DO-41_SOD81_P10.16mm_Horizontal" H 1250 625 50 0001 C CNN
F 3 "http://www.vishay.com/docs/88503/1n4001.pdf" H 1250 800 50 0001 C CNN
1 1250 800
P 1450 5500
F 0 "D1" H 1450 5284 50 0000 C CNN
F 1 "1N5401" H 1450 5375 50 0000 C CNN
F 2 "Diode_THT:D_DO-201AD_P12.70mm_Horizontal" H 1450 5325 50 0001 C CNN
F 3 "http://www.vishay.com/docs/88503/1n4001.pdf" H 1450 5500 50 0001 C CNN
1 1450 5500
-1 0 0 1
$EndComp
$Comp
@ -50,12 +50,12 @@ $EndComp
$Comp
L Device:Battery_Cell BT1
U 1 1 5B5F4F5C
P 800 1100
F 0 "BT1" H 918 1196 50 0000 L CNN
F 1 "18650 Li-Ion" H 918 1105 50 0000 L CNN
F 2 "JBeyerstedt-Library:BatteryHolder_COMF_BHC-18650-1" V 800 1160 50 0001 C CNN
F 3 "~" V 800 1160 50 0001 C CNN
1 800 1100
P 750 6400
F 0 "BT1" H 868 6496 50 0000 L CNN
F 1 "18650 Li-Ion" H 868 6405 50 0000 L CNN
F 2 "JBeyerstedt-Library:BatteryHolder_COMF_BHC-18650-1" V 750 6460 50 0001 C CNN
F 3 "~" V 750 6460 50 0001 C CNN
1 750 6400
1 0 0 -1
$EndComp
$Comp
@ -107,7 +107,7 @@ L Device:R R6
U 1 1 5B5F5860
P 9900 3400
F 0 "R6" H 9970 3446 50 0000 L CNN
F 1 "2.7M" H 9970 3355 50 0000 L CNN
F 1 "3.3M" H 9970 3355 50 0000 L CNN
F 2 "Resistor_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal" V 9830 3400 50 0001 C CNN
F 3 "~" H 9900 3400 50 0001 C CNN
1 9900 3400
@ -124,17 +124,17 @@ F 3 "~" H 7850 3750 50 0001 C CNN
1 7850 3750
-1 0 0 -1
$EndComp
Text GLabel 2900 800 2 50 Output ~ 0
Text GLabel 3400 5950 2 50 Output ~ 0
VDD
$Comp
L power:GND #PWR011
U 1 1 5B5F5D9E
P 1700 1400
F 0 "#PWR011" H 1700 1150 50 0001 C CNN
F 1 "GND" H 1705 1227 50 0000 C CNN
F 2 "" H 1700 1400 50 0001 C CNN
F 3 "" H 1700 1400 50 0001 C CNN
1 1700 1400
P 1450 6850
F 0 "#PWR011" H 1450 6600 50 0001 C CNN
F 1 "GND" H 1455 6677 50 0000 C CNN
F 2 "" H 1450 6850 50 0001 C CNN
F 3 "" H 1450 6850 50 0001 C CNN
1 1450 6850
1 0 0 -1
$EndComp
$Comp
@ -278,12 +278,12 @@ $EndComp
$Comp
L Device:C C3
U 1 1 5B610407
P 1700 1050
F 0 "C3" H 1815 1096 50 0000 L CNN
F 1 "1u" H 1815 1005 50 0000 L CNN
F 2 "Capacitor_THT:C_Rect_L4.6mm_W3.8mm_P2.50mm_MKS02_FKP02" H 1738 900 50 0001 C CNN
F 3 "~" H 1700 1050 50 0001 C CNN
1 1700 1050
P 2400 6350
F 0 "C3" H 2515 6396 50 0000 L CNN
F 1 "1u" H 2515 6305 50 0000 L CNN
F 2 "Capacitor_THT:C_Rect_L4.6mm_W3.8mm_P2.50mm_MKS02_FKP02" H 2438 6200 50 0001 C CNN
F 3 "~" H 2400 6350 50 0001 C CNN
1 2400 6350
1 0 0 -1
$EndComp
$Comp
@ -329,13 +329,13 @@ F 3 "~" H 9900 3800 50 0001 C CNN
1 0 0 -1
$EndComp
Text GLabel 4600 2750 0 50 Input ~ 0
VCC_SENS
BAT_SENS
Text GLabel 9700 3600 0 50 Output ~ 0
VCC_SENS
BAT_SENS
Text GLabel 9050 1400 0 50 Input ~ 0
VDD
Text GLabel 9700 3150 0 50 UnSpc ~ 0
VDD
BAT
$Comp
L power:GND #PWR010
U 1 1 5B62C522
@ -522,15 +522,7 @@ Wire Wire Line
7300 5300 7350 5300
Wire Wire Line
7350 5300 7350 5450
Wire Wire Line
800 1200 800 1300
Wire Wire Line
800 1300 1700 1300
Wire Wire Line
1700 1200 1700 1300
Connection ~ 1700 1300
Wire Wire Line
1700 1300 1700 1400
Connection ~ 2400 6750
Wire Wire Line
3700 3600 3700 3650
Wire Wire Line
@ -540,14 +532,6 @@ Wire Wire Line
Connection ~ 3700 3650
Wire Wire Line
3700 3650 3700 3700
Wire Wire Line
1700 800 1700 900
Wire Wire Line
1100 800 800 800
Wire Wire Line
800 800 800 900
Wire Wire Line
1400 800 1700 800
Text GLabel 8900 1850 0 50 Input ~ 0
SDA
Text GLabel 8900 2050 0 50 Input ~ 0
@ -609,45 +593,30 @@ Wire Wire Line
$Comp
L Device:CP C5
U 1 1 5B6383FA
P 2150 1050
F 0 "C5" H 2268 1096 50 0000 L CNN
F 1 "100u" H 2268 1005 50 0000 L CNN
F 2 "Capacitor_THT:CP_Radial_D10.0mm_P5.00mm" H 2188 900 50 0001 C CNN
F 3 "~" H 2150 1050 50 0001 C CNN
1 2150 1050
P 2850 6350
F 0 "C5" H 2968 6396 50 0000 L CNN
F 1 "100u" H 2968 6305 50 0000 L CNN
F 2 "Capacitor_THT:CP_Radial_D10.0mm_P5.00mm" H 2888 6200 50 0001 C CNN
F 3 "~" H 2850 6350 50 0001 C CNN
1 2850 6350
1 0 0 -1
$EndComp
$Comp
L Device:CP C6
U 1 1 5B63868C
P 2600 1050
F 0 "C6" H 2718 1096 50 0000 L CNN
F 1 "470u" H 2718 1005 50 0000 L CNN
F 2 "Capacitor_THT:CP_Radial_D10.0mm_P5.00mm" H 2638 900 50 0001 C CNN
F 3 "~" H 2600 1050 50 0001 C CNN
1 2600 1050
P 3300 6350
F 0 "C6" H 3418 6396 50 0000 L CNN
F 1 "470u" H 3418 6305 50 0000 L CNN
F 2 "Capacitor_THT:CP_Radial_D10.0mm_P5.00mm" H 3338 6200 50 0001 C CNN
F 3 "~" H 3300 6350 50 0001 C CNN
1 3300 6350
1 0 0 -1
$EndComp
Wire Wire Line
2600 800 2600 900
Connection ~ 2600 800
2850 6750 3300 6750
Connection ~ 2850 6750
Wire Wire Line
2150 800 2150 900
Connection ~ 2150 800
Wire Wire Line
2150 800 2600 800
Wire Wire Line
2150 1300 2150 1200
Wire Wire Line
2150 1300 2600 1300
Wire Wire Line
2600 1300 2600 1200
Connection ~ 2150 1300
Wire Wire Line
1700 1300 2150 1300
Wire Wire Line
1700 800 2150 800
Connection ~ 1700 800
2400 6750 2850 6750
Text GLabel 3150 1800 0 50 UnSpc ~ 0
VDD
Wire Wire Line
@ -778,6 +747,91 @@ Wire Wire Line
9050 1400 9200 1400
Wire Wire Line
10900 2100 10900 2400
$Comp
L Regulator_Linear:TC1262-33 U3
U 1 1 5B68D0BE
P 1450 5950
F 0 "U3" H 1450 6192 50 0000 C CNN
F 1 "TC1262-33" H 1450 6101 50 0000 C CNN
F 2 "Package_TO_SOT_SMD:SOT-223-3_TabPin2" H 1450 6175 50 0001 C CIN
F 3 "http://ww1.microchip.com/downloads/en/DeviceDoc/21373C.pdf" H 1450 5650 50 0001 C CNN
1 1450 5950
1 0 0 -1
$EndComp
$Comp
L Device:C C10
U 1 1 5B68D194
P 1850 6100
F 0 "C10" H 1965 6146 50 0000 L CNN
F 1 "1u" H 1965 6055 50 0000 L CNN
F 2 "Capacitor_SMD:C_0805_2012Metric_Pad1.15x1.40mm_HandSolder" H 1888 5950 50 0001 C CNN
F 3 "~" H 1850 6100 50 0001 C CNN
1 1850 6100
1 0 0 -1
$EndComp
Wire Wire Line
2600 800 2900 800
1750 5950 1850 5950
Wire Wire Line
1450 6250 1850 6250
Wire Wire Line
1450 6250 1450 6750
Connection ~ 1450 6250
Wire Wire Line
750 6500 750 6750
Wire Wire Line
750 6750 1450 6750
Connection ~ 1450 6750
Wire Wire Line
750 6200 750 5950
Wire Wire Line
750 5950 1150 5950
Text Notes 1700 5400 0 50 ~ 0
(as alternative solution to TC1262)
Wire Wire Line
1450 6750 1450 6850
Wire Wire Line
3300 6200 3300 5950
Wire Wire Line
3300 5950 3400 5950
Wire Wire Line
3300 5950 2850 5950
Wire Wire Line
2850 5950 2850 6200
Connection ~ 3300 5950
Wire Wire Line
2400 5950 2400 6200
Wire Wire Line
2400 5950 2850 5950
Connection ~ 2850 5950
Connection ~ 2400 5950
Wire Wire Line
2400 6500 2400 6750
Wire Wire Line
2850 6500 2850 6750
Wire Wire Line
3300 6500 3300 6750
Wire Wire Line
1850 5950 2150 5950
Connection ~ 1850 5950
Wire Wire Line
1450 6750 2400 6750
Wire Wire Line
1600 5500 2150 5500
Wire Wire Line
2150 5500 2150 5950
Connection ~ 2150 5950
Wire Wire Line
2150 5950 2400 5950
Wire Wire Line
1300 5500 750 5500
Wire Wire Line
750 5500 750 5950
Connection ~ 750 5950
Text GLabel 800 5200 2 50 UnSpc ~ 0
BAT
Wire Wire Line
750 5500 750 5200
Wire Wire Line
750 5200 800 5200
Connection ~ 750 5500
$EndSCHEMATC

Binary file not shown.

Binary file not shown.

View File

@ -0,0 +1,29 @@
# ESP32 SensorNode PCB Layout, rev. 2
## Bill of Materials
Type | Qty | Value | Footprint | Mouser
----------- | --- | ------- | --------------- | -------------------
Capacitor | 3 | 0.1u | SMD 0805 | 581-08055C104KAZ2A
Capacitor | 1 | 0.01u | SMD 0805 | 80-C0805C103K5R7411
Capacitor | 1 | 4.7u | SMD 0805 | 963-TMK212BBJ475MD-T
Capacitor | 1 | 1u | SMD 0805 | 963-LMK212B7105KG-T
Resistor | 2 | 22k | SMD 0805 | 603-RC0805FR-0722KL
Si7021 | 1 | A20-GM1 | DFN-6 | 634-SI7021-A20-GM1
VoltageReg | 1 | TC1262 | SOT-223-3 | 579-TC1262-3.3VDBTR
Switch | 1 | -x- | THT SW_PUSH_6mm | 506-FSM4JH
Resistor | 3 | 22k | THT L6.3 P10.16 |
Resistor | 1 | 2.7M | THT L6.3 P10.16 |
Resistor | 1 | 1M | THT L6.3 P10.16 |
Resistor | 1 | 47R | THT L6.3 P10.16 |
Capacitor | 1 | 1n | THT P7.50 Disk |
Capacitor | 1 | 1u | THT P2.50 Rect |
Capacitor | 1 | 100u | THT P5.00 Elko |
Capacitor | 1 | 460u | THT P5.00 Elko |
Diode | 1 | 1N5400 | THT | TODO
---- | -- | ---- | ---- | ----
Bat Holder | 1 | 18650 | x | -/-
ESP32 | 1 | WROOM | x | -/-
Pin 2x07 M | 1 | -x- | THT P2.54 2x07 | -/-
Pin 1x06 M | 1 | -x- | THT P2.54 2x07 | -/-
Pin 1x04 M | 1 | -x- | THT P2.54 2x07 | -/-
Pin 1x03 M | 1 | -x- | THT P2.54 2x07 | -/-